From 1894be584a56543cb04b0f0b21c8deeac05605ef Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 22 Dec 2016 06:36:31 +0100 Subject: Add testcase for #243 --- testsuite/gna/issue243/test.vhdl | 25 +++++++++++++++++++++++++ testsuite/gna/issue243/testsuite.sh | 10 ++++++++++ 2 files changed, 35 insertions(+) create mode 100644 testsuite/gna/issue243/test.vhdl create mode 100755 testsuite/gna/issue243/testsuite.sh (limited to 'testsuite/gna/issue243') diff --git a/testsuite/gna/issue243/test.vhdl b/testsuite/gna/issue243/test.vhdl new file mode 100644 index 000000000..f989a758e --- /dev/null +++ b/testsuite/gna/issue243/test.vhdl @@ -0,0 +1,25 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +PACKAGE test_pkg IS + + SUBTYPE test_t IS std_ulogic_vector(7 DOWNTO 0); + + TYPE test_array_t IS ARRAY (natural RANGE <>) OF test_t; + +END PACKAGE test_pkg; + +LIBRARY work; +USE work.test_pkg.ALL; + +ENTITY test IS + PORT ( + a : IN test_array_t(0 TO 4) := (OTHERS => (OTHERS => '0')); + b : IN test_array_t(0 TO 4) := ((OTHERS => (OTHERS => '0')))); +END ENTITY test; + +ARCHITECTURE rtl OF test IS + +BEGIN + +END ARCHITECTURE rtl; diff --git a/testsuite/gna/issue243/testsuite.sh b/testsuite/gna/issue243/testsuite.sh new file mode 100755 index 000000000..84ddbddba --- /dev/null +++ b/testsuite/gna/issue243/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure test.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3