From 4e2a152374d2dabdbb46962669a497424d00e52e Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 11 Mar 2017 07:24:00 +0100 Subject: Add testcase for #312 --- testsuite/gna/issue312/test.vhdl | 19 +++++++++++++++++++ testsuite/gna/issue312/testsuite.sh | 10 ++++++++++ 2 files changed, 29 insertions(+) create mode 100644 testsuite/gna/issue312/test.vhdl create mode 100755 testsuite/gna/issue312/testsuite.sh (limited to 'testsuite/gna/issue312') diff --git a/testsuite/gna/issue312/test.vhdl b/testsuite/gna/issue312/test.vhdl new file mode 100644 index 000000000..2fca37258 --- /dev/null +++ b/testsuite/gna/issue312/test.vhdl @@ -0,0 +1,19 @@ +package pkg is + generic ( + type T + ); +end package; + + +use work.pkg.all; + +entity test is +end entity; + +architecture tb of test is + package p is new package pkg + generic map ( + T => integer + ); +begin +end architecture; diff --git a/testsuite/gna/issue312/testsuite.sh b/testsuite/gna/issue312/testsuite.sh new file mode 100755 index 000000000..84ddbddba --- /dev/null +++ b/testsuite/gna/issue312/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure test.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3