From 5cd3de469b95342dc20e883e8387ff59a752b7e9 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 24 Aug 2018 06:44:06 +0200 Subject: Add testcase for #637 --- testsuite/gna/issue637/example.vhdl | 16 ++++++++++++++++ testsuite/gna/issue637/testsuite.sh | 11 +++++++++++ 2 files changed, 27 insertions(+) create mode 100644 testsuite/gna/issue637/example.vhdl create mode 100755 testsuite/gna/issue637/testsuite.sh (limited to 'testsuite/gna/issue637') diff --git a/testsuite/gna/issue637/example.vhdl b/testsuite/gna/issue637/example.vhdl new file mode 100644 index 000000000..d13664393 --- /dev/null +++ b/testsuite/gna/issue637/example.vhdl @@ -0,0 +1,16 @@ +entity example is +end entity; + +architecture tb of example is + type arrType is array (1 downto 0) of integer; + type arrTypePtr is access arrType; +begin + process (all) + variable ptr : arrTypePtr; + begin + ptr := new arrType'(10, 5); -- works + ptr.all(0) := 5; -- crash + ptr(0) := 5; --crash + end process; + +end architecture; diff --git a/testsuite/gna/issue637/testsuite.sh b/testsuite/gna/issue637/testsuite.sh new file mode 100755 index 000000000..9cc19eab3 --- /dev/null +++ b/testsuite/gna/issue637/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze example.vhdl +elab_simulate example + +clean + +echo "Test successful" -- cgit v1.2.3