From 44095bf454b98b580ff41c92da52ce431a45828d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 1 Oct 2016 06:50:34 +0200 Subject: Emit error message during analysis for missing generic association. --- testsuite/gna/bug18361/testsuite.sh | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) (limited to 'testsuite/gna') diff --git a/testsuite/gna/bug18361/testsuite.sh b/testsuite/gna/bug18361/testsuite.sh index 94d709bb0..dac7090c1 100755 --- a/testsuite/gna/bug18361/testsuite.sh +++ b/testsuite/gna/bug18361/testsuite.sh @@ -2,8 +2,7 @@ . ../../testenv.sh -analyze cnt.vhdl -elab_simulate_failure cnt_v_tb +analyze_failure cnt.vhdl clean -- cgit v1.2.3