From 4777cd72cd085b4317bb7d71108b18475b0b55c1 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 10 Oct 2016 06:34:51 +0200 Subject: Add reproducer for issue #160 --- testsuite/gna/issue160/testsuite.sh | 28 ++++++++++++++++++++++++++++ testsuite/gna/issue160/top.vhdl | 2 ++ 2 files changed, 30 insertions(+) create mode 100755 testsuite/gna/issue160/testsuite.sh create mode 100644 testsuite/gna/issue160/top.vhdl (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue160/testsuite.sh b/testsuite/gna/issue160/testsuite.sh new file mode 100755 index 000000000..034888942 --- /dev/null +++ b/testsuite/gna/issue160/testsuite.sh @@ -0,0 +1,28 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=87 +analyze top.vhdl +elab_failure top +clean + +GHDL_STD_FLAGS=--std=93 +analyze top.vhdl +elab_failure top +clean + +GHDL_STD_FLAGS=--std=02 +analyze top.vhdl +elab_failure top +clean + +GHDL_STD_FLAGS=--std=08 +analyze top.vhdl +elab_failure top +unset GHDL_STD_FLAGS +elab_failure top +GHDL_STD_FLAGS=--std=08 +clean + +echo "Test successful" diff --git a/testsuite/gna/issue160/top.vhdl b/testsuite/gna/issue160/top.vhdl new file mode 100644 index 000000000..fbf3cf088 --- /dev/null +++ b/testsuite/gna/issue160/top.vhdl @@ -0,0 +1,2 @@ +entity top is +end top; -- cgit v1.2.3