From 52f10edcb18bfeabe56a99a5ee1d47eae34e32cb Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 14 Sep 2016 02:20:18 +0200 Subject: Add testcase for nested package instantiation --- testsuite/gna/bug056/tb.vhdl | 21 +++++++++++++++++++++ testsuite/gna/bug056/testsuite.sh | 12 ++++++++++++ 2 files changed, 33 insertions(+) create mode 100644 testsuite/gna/bug056/tb.vhdl create mode 100755 testsuite/gna/bug056/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/bug056/tb.vhdl b/testsuite/gna/bug056/tb.vhdl new file mode 100644 index 000000000..cda7ef62f --- /dev/null +++ b/testsuite/gna/bug056/tb.vhdl @@ -0,0 +1,21 @@ +package pkg is + generic (c : natural); + + function f return natural; +end pkg; + +package body pkg is + function f return natural is + begin + return c; + end f; +end pkg; + +entity tb is +end tb; + +architecture behav of tb is + package p is new work.pkg generic map (c => 3); +begin + assert p.f = 3; +end behav; diff --git a/testsuite/gna/bug056/testsuite.sh b/testsuite/gna/bug056/testsuite.sh new file mode 100755 index 000000000..8f65b4375 --- /dev/null +++ b/testsuite/gna/bug056/testsuite.sh @@ -0,0 +1,12 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 + +analyze tb.vhdl +elab_simulate tb + +clean + +echo "Test successful" -- cgit v1.2.3