From 7d519d803e7481bf1007b7177629776f99149f65 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 7 Oct 2020 18:37:31 +0200 Subject: testsuite/gna: add a test for previous commit. --- testsuite/gna/bug0100/attr2.vhdl | 9 +++++++++ testsuite/gna/bug0100/testsuite.sh | 1 + 2 files changed, 10 insertions(+) create mode 100644 testsuite/gna/bug0100/attr2.vhdl (limited to 'testsuite/gna') diff --git a/testsuite/gna/bug0100/attr2.vhdl b/testsuite/gna/bug0100/attr2.vhdl new file mode 100644 index 000000000..719100aa0 --- /dev/null +++ b/testsuite/gna/bug0100/attr2.vhdl @@ -0,0 +1,9 @@ +entity attr2 is +end attr2; + +architecture behav of attr2 is + attribute my_attr : boolean; + signal sig : bit; + attribute my_attr of sig; +begin +end behav; diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh index fce617008..db51469d8 100755 --- a/testsuite/gna/bug0100/testsuite.sh +++ b/testsuite/gna/bug0100/testsuite.sh @@ -21,6 +21,7 @@ analyze_failure proctarg.vhdl analyze_failure libparen.vhdl analyze_failure --force-analysis badrng.vhdl analyze_failure --force-analysis attr.vhdl +analyze_failure --force-analysis attr2.vhdl if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then : -- cgit v1.2.3