From 8f563f9df8ad94e44f1bd8eecd91d5611e507cc7 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 10 Feb 2021 19:09:18 +0100 Subject: testsuite/gna: add a test for #1639 --- testsuite/gna/issue1639/ap.vhdl | 22 ++++++++++++++++++++++ testsuite/gna/issue1639/testsuite.sh | 9 +++++++++ 2 files changed, 31 insertions(+) create mode 100644 testsuite/gna/issue1639/ap.vhdl create mode 100755 testsuite/gna/issue1639/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue1639/ap.vhdl b/testsuite/gna/issue1639/ap.vhdl new file mode 100644 index 000000000..17e3b5907 --- /dev/null +++ b/testsuite/gna/issue1639/ap.vhdl @@ -0,0 +1,22 @@ +entity ap_a_04 is + + end entity ap_a_04; + + library ieee; use ieee.std_logic_1164.all; + + architecture test of ap_a_04 is + + signal a, b, y : std_ulogic; + + begin + + -- code from book + + y <= a or b; + + -- end code from book + + a <= '0', '1' after 10 ns; + b <= '0', '1' after 9223372036854775802 ns, '0' after 10 ns, '1' after 15 ns; + + end architecture test; diff --git a/testsuite/gna/issue1639/testsuite.sh b/testsuite/gna/issue1639/testsuite.sh new file mode 100755 index 000000000..13584c618 --- /dev/null +++ b/testsuite/gna/issue1639/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure ap.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3