From 4d148edb4087a0a14172d27d037e59d8874c66b9 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 11 Jan 2020 07:07:32 +0100 Subject: testsuite/synth: add a test for #1076 --- testsuite/synth/issue1076/testsuite.sh | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100755 testsuite/synth/issue1076/testsuite.sh (limited to 'testsuite/synth/issue1076/testsuite.sh') diff --git a/testsuite/synth/issue1076/testsuite.sh b/testsuite/synth/issue1076/testsuite.sh new file mode 100755 index 000000000..f24786843 --- /dev/null +++ b/testsuite/synth/issue1076/testsuite.sh @@ -0,0 +1,18 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 + +for t in ent; do + analyze $t.vhdl tb_$t.vhdl + elab_simulate tb_$t + clean + + synth $t.vhdl -e $t > syn_$t.vhdl + analyze syn_$t.vhdl tb_$t.vhdl + elab_simulate tb_$t --ieee-asserts=disable-at-0 + clean +done + +echo "Test successful" -- cgit v1.2.3