From c3e17a99f3369ec5e63433dbee6819b6aadae4cd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 12 Jan 2020 21:41:30 +0100 Subject: testsuite/synth: add a test for static unary not. For #1080 --- testsuite/synth/unary01/snot.vhdl | 15 +++++++++++++++ testsuite/synth/unary01/testsuite.sh | 2 ++ 2 files changed, 17 insertions(+) create mode 100644 testsuite/synth/unary01/snot.vhdl (limited to 'testsuite/synth/unary01') diff --git a/testsuite/synth/unary01/snot.vhdl b/testsuite/synth/unary01/snot.vhdl new file mode 100644 index 000000000..7518d3885 --- /dev/null +++ b/testsuite/synth/unary01/snot.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity snot is + generic ( + v : std_logic := '0'); + port ( + o : out std_logic); +end snot; + +architecture behav of snot is +begin + o <= not v; + assert (not v) = '1' severity failure; +end behav; diff --git a/testsuite/synth/unary01/testsuite.sh b/testsuite/synth/unary01/testsuite.sh index 3e8f8a082..489868f74 100755 --- a/testsuite/synth/unary01/testsuite.sh +++ b/testsuite/synth/unary01/testsuite.sh @@ -6,6 +6,8 @@ GHDL_STD_FLAGS=--std=08 synth test.vhdl -e test > syn_test.vhdl analyze syn_test.vhdl + +synth snot.vhdl -e > syn_snot.vhdl clean echo "Test successful" -- cgit v1.2.3