From 25879b2e1b2ef16a0f21b96e0bd51e40b4acd765 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 17 Apr 2020 08:04:26 +0200 Subject: testsuite/synth: add a testcase for #1236 --- testsuite/synth/issue1236/issue.vhdl | 10 ++++++++++ testsuite/synth/issue1236/testsuite.sh | 8 ++++++++ 2 files changed, 18 insertions(+) create mode 100644 testsuite/synth/issue1236/issue.vhdl create mode 100755 testsuite/synth/issue1236/testsuite.sh (limited to 'testsuite/synth') diff --git a/testsuite/synth/issue1236/issue.vhdl b/testsuite/synth/issue1236/issue.vhdl new file mode 100644 index 000000000..48e9b8647 --- /dev/null +++ b/testsuite/synth/issue1236/issue.vhdl @@ -0,0 +1,10 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity issue is + generic (type t_type); +end issue; + +architecture beh of issue is +begin +end architecture beh; diff --git a/testsuite/synth/issue1236/testsuite.sh b/testsuite/synth/issue1236/testsuite.sh new file mode 100755 index 000000000..474c4277a --- /dev/null +++ b/testsuite/synth/issue1236/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +synth_failure issue.vhdl -e + +echo "Test successful" -- cgit v1.2.3