From b74cf02f016d958b66e24cd0e7f3c1f5555afefc Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 23 Feb 2014 18:37:32 +0100 Subject: Adjust order, clean lib before running vests. --- testsuite/vests/testsuite.sh | 2 ++ testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp | 2 +- 2 files changed, 3 insertions(+), 1 deletion(-) (limited to 'testsuite/vests') diff --git a/testsuite/vests/testsuite.sh b/testsuite/vests/testsuite.sh index a83865d5b..f8fb1555e 100755 --- a/testsuite/vests/testsuite.sh +++ b/testsuite/vests/testsuite.sh @@ -169,6 +169,8 @@ done # Test group +delete_lib work + dir=vhdl-93/clifton-labs/compliant . $dir/compliant1.exp diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp b/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp index 3f7e0043b..7780d8abf 100644 --- a/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp +++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/compliant1.exp @@ -53,8 +53,8 @@ run_compliant_test functional/operators/addition/variable-plus-variable.vhdl run_compliant_test functional/operators/concatenation/concatenate-string-character.vhdl run_compliant_test functional/operators/concatenation/concatenate-two-strings.vhdl run_compliant_test functional/operators/division/integer-division.vhdl -run_compliant_test functional/packages/simple_package_body_test.vhdl run_compliant_test functional/packages/simple_package_test.vhdl +run_compliant_test functional/packages/simple_package_body_test.vhdl run_compliant_test functional/signals/assign/simple-array-assign.vhdl run_compliant_test functional/signals/assign/simple-integer-assign.vhdl run_compliant_test functional/signals/assign/simple-integer-initialize.vhdl -- cgit v1.2.3