From 0bb34453ff13d6282c1b89b1b9b25dcf62f7851c Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 22 Oct 2017 20:54:01 +0200 Subject: Add reproducer for #356. --- testsuite/gna/issue356/mux4.vhdl | 14 ++++++++++++++ testsuite/gna/issue356/testsuite.sh | 10 ++++++++++ 2 files changed, 24 insertions(+) create mode 100644 testsuite/gna/issue356/mux4.vhdl create mode 100755 testsuite/gna/issue356/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue356/mux4.vhdl b/testsuite/gna/issue356/mux4.vhdl new file mode 100644 index 000000000..ed1a1d7f1 --- /dev/null +++ b/testsuite/gna/issue356/mux4.vhdl @@ -0,0 +1,14 @@ +entity mux4 is + port ( a0, a1, a2, a3 : in bit; + sel0, sel1 : in bit; + y : out bit ); +end entity mux4; + +architecture behav of mux4 is +begin + with bit_vector'(sel0, sel1) select + y <= a0 when "00", + a1 when "01", + a2 when "10", + a3 when "11"; +end architecture behav; diff --git a/testsuite/gna/issue356/testsuite.sh b/testsuite/gna/issue356/testsuite.sh new file mode 100755 index 000000000..6ff5342a2 --- /dev/null +++ b/testsuite/gna/issue356/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze mux4.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3