From 1cf3b7f84f2b1646425f3b9e31237bf7e1ec667f Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 6 Sep 2017 05:34:01 +0200 Subject: Also fix for next/exit statement. Part of #407 --- testsuite/gna/issue407/test2.vhdl | 13 +++++++++++++ testsuite/gna/issue407/testsuite.sh | 3 +++ 2 files changed, 16 insertions(+) create mode 100644 testsuite/gna/issue407/test2.vhdl (limited to 'testsuite') diff --git a/testsuite/gna/issue407/test2.vhdl b/testsuite/gna/issue407/test2.vhdl new file mode 100644 index 000000000..2ad1c80fc --- /dev/null +++ b/testsuite/gna/issue407/test2.vhdl @@ -0,0 +1,13 @@ +entity test2 is +begin +end entity; + +architecture arch of test2 is +begin + process(all) + begin + loop + exit; + end loop; + end process; +end architecture; diff --git a/testsuite/gna/issue407/testsuite.sh b/testsuite/gna/issue407/testsuite.sh index 61e8efa0b..d884f1b07 100755 --- a/testsuite/gna/issue407/testsuite.sh +++ b/testsuite/gna/issue407/testsuite.sh @@ -9,6 +9,9 @@ elab_simulate test analyze test1.vhdl elab_simulate test1 +analyze test2.vhdl +elab_simulate test2 + clean echo "Test successful" -- cgit v1.2.3