From 4d591aebdf4d855b47e519095cd9f2f10181459c Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 12 Apr 2018 20:01:19 +0200 Subject: Add reproducer for #553 --- testsuite/gna/issue553/repro.vhdl | 24 ++++++++++++++++++++++ testsuite/gna/issue553/stimulus_response.vhd | 30 ++++++++++++++++++++++++++++ testsuite/gna/issue553/testsuite.sh | 9 +++++++++ 3 files changed, 63 insertions(+) create mode 100644 testsuite/gna/issue553/repro.vhdl create mode 100644 testsuite/gna/issue553/stimulus_response.vhd create mode 100755 testsuite/gna/issue553/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue553/repro.vhdl b/testsuite/gna/issue553/repro.vhdl new file mode 100644 index 000000000..71032a746 --- /dev/null +++ b/testsuite/gna/issue553/repro.vhdl @@ -0,0 +1,24 @@ + +entity Stimulus_Response is +generic ( CLK_PERIOD : Time := 20 ns ); +port ( + NRESET : in bit; + CLK : in bit; + + A : out bit +); +end Stimulus_Response; + +architecture Behavioral of Stimulus_Response is + type my_bool is (True, False, Maybe); + + constant Scrubbing_Test : False; + constant MEM_Test : boolean := False; + + signal A_int : bit; + +begin + + A <= A_int; + +end Behavioral; diff --git a/testsuite/gna/issue553/stimulus_response.vhd b/testsuite/gna/issue553/stimulus_response.vhd new file mode 100644 index 000000000..55e3d8398 --- /dev/null +++ b/testsuite/gna/issue553/stimulus_response.vhd @@ -0,0 +1,30 @@ + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +library osvvm; +context osvvm.OsvvmContext; + + +entity Stimulus_Response is +generic ( CLK_PERIOD : Time := 20 ns ); +port ( + NRESET : in std_logic; + CLK : in std_logic; + + A : out std_logic +); +end Stimulus_Response; + +architecture Behavioral of Stimulus_Response is + + constant Scrubbing_Test : False; + constant MEM_Test : boolean := False; + + signal A_int : std_logic; + +begin + + A <= A_int; + +end Behavioral; diff --git a/testsuite/gna/issue553/testsuite.sh b/testsuite/gna/issue553/testsuite.sh new file mode 100755 index 000000000..5defdcf1e --- /dev/null +++ b/testsuite/gna/issue553/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure repro.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3