From 56e74b28fe2df92739000347ce013a627dbd7ccd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 10 Nov 2018 16:11:47 +0100 Subject: Add testcase for #691 --- testsuite/gna/issue691/tb.vhdl | 12 ++++++++++++ testsuite/gna/issue691/testsuite.sh | 9 +++++++++ 2 files changed, 21 insertions(+) create mode 100644 testsuite/gna/issue691/tb.vhdl create mode 100755 testsuite/gna/issue691/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue691/tb.vhdl b/testsuite/gna/issue691/tb.vhdl new file mode 100644 index 000000000..5cf0ed33e --- /dev/null +++ b/testsuite/gna/issue691/tb.vhdl @@ -0,0 +1,12 @@ +library ieee, std; +use ieee.std_logic_1164.all; + +entity e1 is port(number: in std_logic_vector(15 downto 0)); end e1; + +library ieee; +use ieee.std_logic_1164.all; + +entity tb is end entity; +architecture arch of tb is begin + DS: entity work.e1 port map (number => std_logic_vector(1, 15)); +end arch; diff --git a/testsuite/gna/issue691/testsuite.sh b/testsuite/gna/issue691/testsuite.sh new file mode 100755 index 000000000..8a9a6eed3 --- /dev/null +++ b/testsuite/gna/issue691/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure tb.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3