From 69e6e7e0040509b28f2ecaad754ee4bec93e53e5 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 6 Sep 2017 05:28:07 +0200 Subject: Add reproducer for #407 --- testsuite/gna/issue407/test.vhdl | 11 +++++++++++ testsuite/gna/issue407/testsuite.sh | 11 +++++++++++ 2 files changed, 22 insertions(+) create mode 100644 testsuite/gna/issue407/test.vhdl create mode 100755 testsuite/gna/issue407/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue407/test.vhdl b/testsuite/gna/issue407/test.vhdl new file mode 100644 index 000000000..24f2f056d --- /dev/null +++ b/testsuite/gna/issue407/test.vhdl @@ -0,0 +1,11 @@ +entity test is +begin +end entity; + +architecture arch of test is +begin + process(all) + begin + report "compilation crashes here"; + end process; +end architecture; diff --git a/testsuite/gna/issue407/testsuite.sh b/testsuite/gna/issue407/testsuite.sh new file mode 100755 index 000000000..1d84c0f57 --- /dev/null +++ b/testsuite/gna/issue407/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze test.vhdl +elab_simulate test + +clean + +echo "Test successful" -- cgit v1.2.3