From f16a286f2400fc3910f0eb3efcfbff1d9e558cba Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 28 Nov 2017 06:12:56 +0100 Subject: Add reproducer for #470 --- testsuite/gna/issue470/e.vhdl | 13 +++++++++++++ testsuite/gna/issue470/testsuite.sh | 10 ++++++++++ 2 files changed, 23 insertions(+) create mode 100644 testsuite/gna/issue470/e.vhdl create mode 100755 testsuite/gna/issue470/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue470/e.vhdl b/testsuite/gna/issue470/e.vhdl new file mode 100644 index 000000000..fe1bb45be --- /dev/null +++ b/testsuite/gna/issue470/e.vhdl @@ -0,0 +1,13 @@ +entity e is end entity; +architecture h of e is + type p is protected + type t is range 0 to 2; + function m return integer; + end protected; + type p is protected body + function m return integer is begin return 123; end function; + end protected body; + shared variable v :p; +begin + assert false report integer'image(v.m) severity note; +end architecture; diff --git a/testsuite/gna/issue470/testsuite.sh b/testsuite/gna/issue470/testsuite.sh new file mode 100755 index 000000000..dc643f60e --- /dev/null +++ b/testsuite/gna/issue470/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure e.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3