ghdl -a alias_bug.vhd ghdl -r alias_bug.vhd