library ieee; use ieee.std_logic_1164.all; entity and6 is port (i0, i1, i2, i3, i4, i5 : std_logic; o : out std_logic); end and6; architecture behav of and6 is signal t1, t2 : std_logic; begin a1: entity work.and3 port map (i0, i1, i2, t1); a2: entity work.and3 port map (i3, i4, i5, t2); o <= t1 and t2; end behav; s-git' href='git://git.panaceas.org/avr/qmk/ChibiOS' title='avr/qmk/ChibiOS Git repository'/>
aboutsummaryrefslogtreecommitdiffstats
path: root/testhal/STM32/STM32F1xx/ADC/.project
blob: b0614142a62e541c294f354c043f88fe491f2c6c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33