aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* filled LP384 fields in icebox.py but data needs refinementhermitsoft2017-03-081-8/+81
* Some LP384 data added to icebox.pyhermitsoft2017-03-081-5/+41
* Fix icecube.sh -384Clifford Wolf2017-03-081-1/+1
* Merge branch 'hermitsoft'Clifford Wolf2017-03-0820-77/+554
|\
| * Fix some of the obvious 384 todos in icebox.pyClifford Wolf2017-03-081-8/+3
| * Remove some trailing whitespacesClifford Wolf2017-03-082-4/+4
| * Merge remote-tracking branch 'upstream/master'hermitsoft2017-03-071-1/+1
| |\ | |/ |/|
* | Merge branch 'hermitsoft-master'Clifford Wolf2017-03-071-37/+53
|\ \
| * | Fix trailing whitespace in icepack.ccClifford Wolf2017-03-071-1/+1
| | * tmedges.txt added, icebox-Makefile buildablehermitsoft2017-03-072-1/+3
| | * LP384 timings exported too by Makefilehermitsoft2017-03-073-0/+297
| | * icefuzz make_xxx.py files are ready for LP384.hermitsoft2017-03-077-62/+89
| | * Fixes for LP384 in some make_xx.py, etchermitsoft2017-03-074-10/+22
| | * LP384 support in icefuzz (Makefile,icebox.sh,etc)hermitsoft2017-03-071-0/+5
| | * LP384 support in icefuzz (Makefile,icebox.sh,etc)hermitsoft2017-03-074-2/+76
| | * Work started for LP384 chipdb.hermitsoft2017-03-064-6/+71
| |/
| * Disabling BRAM commands in bitsream for LP384hermitsoft2017-03-061-36/+39
| * LP384 support in icepack - log_level back to 0hermitsoft2017-03-061-1/+1
| * LP384 support in icepack (tested on real chip)Mihály Horváth2017-03-051-2/+15
|/
* Merge branch 'master' of github.com:cliffordwolf/icestormClifford Wolf2017-02-261-7/+26
|\
| * Add icemulti -a<n>, -A<n>Clifford Wolf2017-02-161-7/+26
* | Add "icetime -N"Clifford Wolf2017-02-261-2/+13
|/
* Merge branch 'makefiles' of https://github.com/lineprinter/icestorm into line...Clifford Wolf2017-02-139-56/+21
|\
| * Clean up MakefilesElvira Khabirova2017-02-129-56/+21
* | Merge pull request #70 from lineprinter/gitignoreClifford Wolf2017-02-121-0/+3
|\ \ | |/ |/|
| * icecompr/.gitignore: add missing filesElvira Khabirova2017-02-121-0/+3
|/
* Update docs index.htmlClifford Wolf2017-02-041-1/+3
* Merge pull request #67 from matthiasbock/masterClifford Wolf2017-02-041-16/+124
|\
| * icepll: changes according to cliffordwolf/icestorm#67:Matthias2017-02-041-3/+1
| * icepll: added -m option to choose between saving Verilog header or moduleMatthias2017-02-021-47/+91
| * icepll: added -f option to export configuration as Verilog moduleMatthias2017-02-011-2/+58
| * icepll: added -q option to suppress output to stdoutMatthias2017-02-011-16/+26
|/
* Cleanup icecompr codeClifford Wolf2017-01-153-19/+30
* Add icecompr.pyClifford Wolf2017-01-132-18/+135
* icecompr: fix missed 'make clean' artifactAustin Seipp2017-01-131-1/+1
* Merge pull request #65 from udif/masterClifford Wolf2017-01-111-0/+1
|\
| * Another fixUdi Finkelstein2017-01-011-1/+1
| * Fix Windows buildsUdi Finkelstein2017-01-011-0/+1
* | Fix some typos in icecube.sh comment headerClifford Wolf2017-01-101-2/+2
* | Some cleanups in verilog examplesClifford Wolf2017-01-094-4/+4
* | Added icecomprClifford Wolf2017-01-087-0/+566
* | Improvements in icestick rs232 demoClifford Wolf2017-01-032-25/+25
* | Fixed files with CRLF line endingsClifford Wolf2017-01-017-52/+52
* | Added icebox_stat.pyClifford Wolf2017-01-012-0/+141
|/
* Added pcf --warn-no-port support to icebox_vlogClifford Wolf2016-12-311-0/+2
* More OSX notesClifford Wolf2016-12-301-0/+4
* Added link to icestick pinoutClifford Wolf2016-12-301-0/+3
* Added icestick rs232 demoClifford Wolf2016-12-303-0/+65
* Added iCEZUM exampleClifford Wolf2016-12-294-0/+68
* Fixed icepll divf rangeClifford Wolf2016-12-171-1/+1