aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Updated iCEcube2 version in icecube.sh to 2015.08, switched from Synplify to LSEClifford Wolf2016-01-012-7/+69
|
* Fixed icefuzz/runloop.sh for gitClifford Wolf2016-01-011-1/+1
|
* Renamed IceBox .txt files to .asc filesClifford Wolf2016-01-0127-41/+41
|
* icetime progressClifford Wolf2016-01-014-48/+77
|
* Added link to 32c3 presentationClifford Wolf2015-12-311-0/+1
|
* Added config.mk, correct DESTDIR/PREFIX usageClifford Wolf2015-12-319-55/+58
|
* Documentation updates (install notes for OSX and Archlinux)Clifford Wolf2015-12-313-43/+93
|
* Merge pull request #23 from FSMaxB/arch-package-docsClifford Wolf2015-12-311-0/+4
|\ | | | | Add installation instruction for Archlinux to the website
| * website: add installation instructions for archlinuxMax Bruckner2015-12-311-0/+4
| |
* | Merge pull request #22 from juan-micuss/masterClifford Wolf2015-12-313-3/+114
|\ \ | | | | | | Add support for a iCEblink40-LP1k ( QN84 package )
| * | Fixed route Icecube2juan-micuss2015-12-302-2/+2
| | |
| * | Added pins for iCE40-LP1k qn84juan-micuss2015-12-301-0/+69
| | |
| * | Added pinloc fuzzers for iCE40-LP1k qn84juan-micuss2015-12-301-3/+11
| | |
| * | Added pinloc fuzzers for iCE40-LP1k qn84juan-micuss2015-12-301-0/+34
| | |
* | | Merge pull request #21 from PedroLopes/masterClifford Wolf2015-12-301-0/+39
|\ \ \ | | | | | | | | OSX Install notes after workshop
| * | | edited the osx notes to with better readibilityPedro Lopes2015-12-301-10/+18
| | | |
| * | | notes for installing the toolchain on mac osxPedro Lopes2015-12-301-0/+31
| |/ /
* | | Merge pull request #18 from esden/flex_example_makeClifford Wolf2015-12-302-20/+36
|\ \ \ | |/ / |/| | [examples] Made the example Makefiles easier to reuse.
| * | [examples] Added sudo prog target to prevent compiling the code as root. And ↵Piotr Esden-Tempski2015-12-302-2/+10
| | | | | | | | | | | | made make clean more conservative.
| * | [examples] Added the project bin file to prog dependencies.Piotr Esden-Tempski2015-12-282-4/+4
| | |
| * | [examples] Made the example Makefiles easier to reuse.Piotr Esden-Tempski2015-12-282-18/+26
| |/
* | Merge pull request #20 from FSMaxB/patch-2Clifford Wolf2015-12-291-1/+1
|\ \ | | | | | | remove trailing tab in hx8kboard example verilog
| * | remove trailing tab in hx8kboard example verilogMax Bruckner2015-12-291-1/+1
| |/
* | Merge pull request #19 from FSMaxB/patch-1Clifford Wolf2015-12-291-1/+1
|\ \ | |/ |/| remove trailing tab in icestick example verilog
| * remove trailing tab in icestick example verilogMax Bruckner2015-12-291-1/+1
|/
* Merge pull request #17 from scanlime/masterClifford Wolf2015-12-191-1/+0
|\ | | | | Tidy up an unused variable warning
| * Tidy up an unused variable warningMicah Elizabeth Scott2015-12-191-1/+0
|/
* Added icebox_diff help messageClifford Wolf2015-12-181-0/+6
|
* Added linkClifford Wolf2015-12-181-0/+1
|
* Added mxe-based win32 buildClifford Wolf2015-12-152-0/+10
|
* Added icestick and hx8kboard examplesClifford Wolf2015-12-088-0/+104
|
* Fixed very long usleep in iceprog flash_wait()Clifford Wolf2015-12-081-1/+1
|
* Python3 fixesClifford Wolf2015-12-043-9/+10
|
* Added lutff_i/lout net to modelClifford Wolf2015-12-044-21/+20
|
* icetime progressClifford Wolf2015-12-041-3/+0
|
* Bugfix in icebox_vlog.pyClifford Wolf2015-11-281-1/+1
|
* Webpage updatesClifford Wolf2015-11-121-6/+15
|
* Revert "Added heuristics to further reduce LUT equations."Clifford Wolf2015-11-011-12/+5
| | | | This reverts commit 8447080d628d11a5571e715bfc5a6f5ba3d46e25.
* icetime progressClifford Wolf2015-10-292-3/+27
|
* icetime progressClifford Wolf2015-10-292-12/+29
|
* icetime progressClifford Wolf2015-10-274-23/+62
|
* icetime progressClifford Wolf2015-10-262-47/+128
|
* icetime progressClifford Wolf2015-10-262-47/+63
|
* Merge pull request #14 from ylm/masterClifford Wolf2015-10-261-5/+12
|\ | | | | Added heuristics to further reduce LUT equations.
| * Added heuristics to further reduce LUT equations.ylm2015-10-261-5/+12
|/
* icetime progressClifford Wolf2015-10-252-27/+120
|
* icetime progressClifford Wolf2015-10-252-19/+93
|
* icetime progressClifford Wolf2015-10-243-22/+131
|
* icetime progressClifford Wolf2015-10-243-12/+28
|
* Indent fixClifford Wolf2015-10-241-1/+1
|