From 411bcc53ffc095379f20494cce2da9424e4c5465 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 28 Nov 2017 11:03:47 +0000 Subject: Whitespace fixes --- icefuzz/tests/sb_i2c.pcf | 1 + icefuzz/tests/sb_i2c.v | 40 +++++++++++++++++++++++++++++++++------- icefuzz/tests/sb_i2c_io.v | 4 ++-- 3 files changed, 36 insertions(+), 9 deletions(-) diff --git a/icefuzz/tests/sb_i2c.pcf b/icefuzz/tests/sb_i2c.pcf index 32aad48..def0303 100644 --- a/icefuzz/tests/sb_i2c.pcf +++ b/icefuzz/tests/sb_i2c.pcf @@ -19,3 +19,4 @@ set_io sclo 23 set_io scloe 25 set_io sdao 26 set_io sdaoe 27 +set_io scli2 28 diff --git a/icefuzz/tests/sb_i2c.v b/icefuzz/tests/sb_i2c.v index cd5e46a..987f476 100644 --- a/icefuzz/tests/sb_i2c.v +++ b/icefuzz/tests/sb_i2c.v @@ -4,13 +4,13 @@ module top ( input sbdati0, sbdati1, sbdati7, output sbdato0, sbdato1, sbdato7, output sbacko, i2cirq, i2cwkup, - input scli, sdai, + input scli, sdai, scli2, output sclo, scloe, sdao, sdaoe ); SB_I2C #( - .I2C_SLAVE_INIT_ADDR("0b1111100010"), - .BUS_ADDR74("0b0011") + .I2C_SLAVE_INIT_ADDR("0b1111100001"), + .BUS_ADDR74("0b0001") ) i2c_ip ( .SBCLKI(sbclki), .SBRWI(sbrwi), @@ -26,7 +26,6 @@ SB_I2C #( .SBDATO0(sbdato0), .SBDATO1(sbdato1), - .SBDATO7(sbdato7), .SBACKO(sbacko), .I2CIRQ(i2cirq), @@ -40,9 +39,36 @@ SB_I2C #( .SDAO(sdao), .SDAOE(sdaoe) ) -/* synthesis SDA_INPUT_DELAYED=1 */ -/* synthesis SDA_OUTPUT_DELAYED=1 */ +/* synthesis SDA_INPUT_DELAYED=0 */ +/* synthesis SDA_OUTPUT_DELAYED=0 */ /* synthesis SCL_INPUT_FILTERED=1 */ ; -endmodule \ No newline at end of file + + +SB_I2C #( + .I2C_SLAVE_INIT_ADDR("0b1111100010"), + .BUS_ADDR74("0b0011") +) i2c_ip2 ( + .SBCLKI(sbclki), + .SBRWI(sbrwi), + .SBSTBI(sbstbi), + + .SBADRI0(sbadri0), + .SBADRI1(sbadri1), + .SBADRI7(sbadri7), + + .SBDATI0(sbdati0), + .SBDATI1(sbdati1), + .SBDATI7(sbdati7), + + .SBDATO7(sbdato7), + + .SCLI(scli2) + +) +/* synthesis SDA_INPUT_DELAYED=0 */ +/* synthesis SDA_OUTPUT_DELAYED=0 */ +/* synthesis SCL_INPUT_FILTERED=1 */ +; +endmodule diff --git a/icefuzz/tests/sb_i2c_io.v b/icefuzz/tests/sb_i2c_io.v index f339df9..9611129 100644 --- a/icefuzz/tests/sb_i2c_io.v +++ b/icefuzz/tests/sb_i2c_io.v @@ -40,7 +40,7 @@ SB_I2C #( .SDAI(sdai), .SDAO(sdao), .SDAOE(sdaoe) -); +) /* synthesis SCL_INPUT_FILTERED=1 */; SB_IO #( .PIN_TYPE(6'b101001), @@ -63,4 +63,4 @@ SB_IO #( .D_IN_0(sdai) ); -endmodule \ No newline at end of file +endmodule -- cgit v1.2.3