From 8a30b4bbd4d9b8b5d43566b7320554e6f4601b87 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 22 Jan 2018 16:34:51 +0000 Subject: Seperate different DSP configs in timing data --- icefuzz/Makefile | 2 +- icefuzz/make_dsp.py | 45 +- icefuzz/rename_dsps.py | 19 + icefuzz/tests/sb_io_i3c.exp | 768 +++ icefuzz/tests/sb_io_i3c.rpt | 108 + icefuzz/tests/sb_io_i3c.vlog | 278 + icefuzz/timings.py | 6 + icefuzz/timings_up5k.txt | 12009 ++++++++++++++++++++++++++++++++-------- icefuzz/tmedges.txt | 2629 ++++++++- icefuzz/tmedges.ys | 2 +- icefuzz/tmedges_unrenamed.tmp | 268 + 11 files changed, 13567 insertions(+), 2567 deletions(-) create mode 100644 icefuzz/rename_dsps.py create mode 100644 icefuzz/tests/sb_io_i3c.exp create mode 100644 icefuzz/tests/sb_io_i3c.rpt create mode 100644 icefuzz/tests/sb_io_i3c.vlog create mode 100644 icefuzz/tmedges_unrenamed.tmp diff --git a/icefuzz/Makefile b/icefuzz/Makefile index 12b7862..a2a40b4 100644 --- a/icefuzz/Makefile +++ b/icefuzz/Makefile @@ -77,7 +77,7 @@ endif timings: ifeq ($(DEVICECLASS),5k) cp tmedges.txt tmedges.tmp - set -e; for f in work_$(DEVICECLASS)_*/*.vsb; do echo $$f; sed '/defparam/d' < $$f > $$f.fixed; yosys -q -f verilog -s tmedges.ys $$f.fixed; done + set -e; for f in work_$(DEVICECLASS)_*/*.vsb; do echo $$f; sed '/defparam/d' < $$f > $$f.fixed; yosys -q -f verilog -s tmedges.ys $$f.fixed; python3 rename_dsps.py $$f; done sort -u tmedges.tmp > tmedges.txt && rm -f tmedges.tmp python3 timings.py -t timings_up5k.txt work_*/*.sdf > timings_up5k.new mv timings_up5k.new timings_up5k.txt diff --git a/icefuzz/make_dsp.py b/icefuzz/make_dsp.py index e97f5c0..524eff7 100755 --- a/icefuzz/make_dsp.py +++ b/icefuzz/make_dsp.py @@ -19,14 +19,41 @@ def randbin(n): #Only certain combinations are allowed in icecube, list them here #This is not a complete set, but enough to cover all bits except cbit13, which #is not set in any allowed config (?) -allowed_configs = ["0010000010000001001110110", "1110000010000001001110110", "0010000010000001000000000", "1110000010000001000000000", - "0000000011000001111110110", "1100000011000001111110110", "0000000011000001110000110", "0010000101000010111111111", - "0000001001100100111111111", "0001001001100100111111111", "0001101001100100111111111", "0001111000101100000000000"] +allowed_configs = [("0010000010000001001110110", "SB_MAC16_MUL_U_8X8_ALL_PIPELINE"), + ("1110000010000001001110110", "SB_MAC16_MUL_S_8X8_ALL_PIPELINE"), + ("0010000010000001000000000", "SB_MAC16_MUL_U_8X8_BYPASS"), + ("1110000010000001000000000", "SB_MAC16_MUL_S_8X8_BYPASS"), + ("0000000011000001111110110", "SB_MAC16_MUL_U_16X16_ALL_PIPELINE"), + ("1100000011000001111110110", "SB_MAC16_MUL_S_16X16_ALL_PIPELINE"), + ("0000000011000001110000110", "SB_MAC16_MUL_U_16X16_IM_BYPASS"), + ("1100000011000001110000110", "SB_MAC16_MUL_S_16X16_IM_BYPASS"), + ("0000000011000001100000000", "SB_MAC16_MUL_U_16X16_BYPASS"), + ("1100000011000001100000000", "SB_MAC16_MUL_S_16X16_BYPASS"), + ("0010000101000010111111111", "SB_MAC16_MAC_U_8X8_ALL_PIPELINE"), + ("0010000101000010100001111", "SB_MAC16_MAC_U_8X8_IM_BYPASS"), + ("0010000101000010100000000", "SB_MAC16_MAC_U_8X8_BYPASS"), + ("0000001001100100111111111", "SB_MAC16_MAC_U_16X16_ALL_PIPELINE"), + ("0001001001100100111111111", "SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE"), + ("0001101001100100111111111", "SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE"), + ("0000001001100100110001111", "SB_MAC16_MAC_U_16X16_IM_BYPASS"), + ("0000001001100100100000000", "SB_MAC16_MAC_U_16X16_BYPASS"), + ("1100001001100100110001111", "SB_MAC16_MAC_S_16X16_IM_BYPASS"), + ("0010000001000000100001111", "SB_MAC16_ACC_U_16P16_ALL_PIPELINE"), + ("0010000001000000100000000", "SB_MAC16_ACC_U_16P16_BYPASS"), + ("0010000001100000100001111", "SB_MAC16_ACC_U_32P32_ALL_PIPELINE"), + ("0010000001100000100000000", "SB_MAC16_ACC_U_32P32_BYPASS"), + ("0010010001001000100001111", "SB_MAC16_ADS_U_16P16_ALL_PIPELINE"), + ("0010010000001000000000000", "SB_MAC16_ADS_U_16P16_BYPASS"), + ("0010010001101000100001111", "SB_MAC16_ADS_U_32P32_ALL_PIPELINE"), + ("0010010000101000000000000", "SB_MAC16_ADS_U_32P32_BYPASS"), + ("0010010101001010111111111", "SB_MAC16_MAS_U_8X8_ALL_PIPELINE")] + coverage = set() for c in allowed_configs: + cfg, name = c for i in range(25): - if c[i] == "1": + if cfg[i] == "1": coverage.add(i) assert len(coverage) >= 24 @@ -37,6 +64,13 @@ assert len(coverage) >= 24 for idx in range(num): with open(working_dir + "/dsp_%02d.v" % idx, "w") as f: glbs = ["glb[%d]" % i for i in range(np.random.randint(8)+1)] + + config = allowed_configs[np.random.randint(len(allowed_configs))] + params, cfgname = config + with open(working_dir + "/dsp_%02d.dsp" % idx, "w") as dspf: + dspf.write(cfgname + "\n") + params = params[::-1] + # TODO: ce should be on this list, but causes routing failures glbs_choice = ["clk", "a", "b", "c", "d,", "ah", "bh", "ch", "dh", "irt", "irb", "ort", "orb", "olt", "olb", "ast", "asb", "oht", "ohb", "sei"] print(""" @@ -118,8 +152,7 @@ for idx in range(num): bits_d = "{%s}" % ", ".join(bits_d) negclk = randbin(1) - params = np.random.choice(allowed_configs) - params = params[::-1] + print(""" wire [34:0] out_%d; SB_MAC16 #( diff --git a/icefuzz/rename_dsps.py b/icefuzz/rename_dsps.py new file mode 100644 index 0000000..8c6cc58 --- /dev/null +++ b/icefuzz/rename_dsps.py @@ -0,0 +1,19 @@ +#!/usr/bin/env python3 + +import sys, os + +dsptype = None +dsppath = sys.argv[1].replace(".vsb", ".dsp") + +if os.path.exists(dsppath): + with open(dsppath, 'r') as f: + dsptype = f.readline().strip() + +with open("tmedges.tmp", "a") as outfile: + with open("tmedges_unrenamed.tmp", "r") as infile: + for line in infile: + if "SB_MAC16" in line: + if dsptype is not None: + outfile.write(line.replace("SB_MAC16", dsptype)) + else: + outfile.write(line) diff --git a/icefuzz/tests/sb_io_i3c.exp b/icefuzz/tests/sb_io_i3c.exp new file mode 100644 index 0000000..986d1a5 --- /dev/null +++ b/icefuzz/tests/sb_io_i3c.exp @@ -0,0 +1,768 @@ +Reading file 'sb_io_i3c.asc'.. +Fabric size (without IO tiles): 24 x 30 + +.io_tile 1 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 2 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 3 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 4 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 5 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 6 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 7 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 8 0 +IOB_0 PINTYPE_0 +IoCtrl IE_1 +IoCtrl REN_1 +IoCtrl cf_bit_35 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 +buffer io_0/D_IN_0 span12_vert_0 + +.io_tile 9 0 +IOB_0 PINTYPE_0 +IOB_1 PINTYPE_0 +IoCtrl IE_0 +IoCtrl IE_1 +IoCtrl REN_0 +IoCtrl REN_1 +IoCtrl cf_bit_35 +IoCtrl cf_bit_39 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 +buffer io_0/D_IN_0 span12_vert_8 +buffer io_1/D_IN_0 span12_vert_4 + +.io_tile 10 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 11 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 12 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 13 0 +IOB_1 PINTYPE_0 +IoCtrl IE_0 +IoCtrl REN_0 +IoCtrl cf_bit_39 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 +buffer io_1/D_IN_0 span12_vert_4 + +.io_tile 14 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 15 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 16 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 17 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 18 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 19 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 20 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 21 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 22 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 23 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 24 0 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 1 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 2 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 3 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 4 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 5 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 6 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 7 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 8 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 9 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 10 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 11 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 12 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 13 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 14 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 15 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 16 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 17 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 18 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 19 31 +IOB_0 PINTYPE_0 +IOB_1 PINTYPE_0 +IoCtrl cf_bit_32 +IoCtrl cf_bit_36 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 20 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 21 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 22 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 23 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.io_tile 24 31 +IoCtrl padeb_test_0 +IoCtrl padeb_test_1 + +.logic_tile 8 1 +routing sp12_v_b_0 sp12_v_t_23 + +.logic_tile 9 3 +routing sp12_v_b_0 sp12_h_r_0 + +.logic_tile 13 3 +routing sp12_v_b_0 sp12_h_r_0 + +.logic_tile 21 3 +routing sp12_h_l_23 sp12_v_t_23 + +.logic_tile 9 5 +routing sp12_v_b_0 sp12_h_r_0 + +.logic_tile 21 5 +routing sp12_h_l_23 sp12_v_t_23 + +.logic_tile 8 13 +routing sp12_v_b_0 sp12_v_t_23 + +.logic_tile 21 15 +routing sp12_v_b_0 sp12_v_t_23 + +.logic_tile 21 17 +routing sp12_v_b_0 sp12_v_t_23 + +.logic_tile 21 22 +buffer sp12_v_b_15 sp4_v_b_19 + +.logic_tile 21 23 +routing sp4_v_b_6 sp4_h_r_0 + +.logic_tile 8 25 +routing sp12_v_b_0 sp12_h_r_0 + +.logic_tile 18 25 +buffer sp12_h_r_20 sp4_h_r_22 + +.logic_tile 21 25 +routing sp4_h_l_46 sp4_h_r_7 + +.logic_tile 21 27 +routing sp12_v_b_0 sp12_h_r_0 + +.dsp0_tile 25 15 +routing sp12_v_b_0 sp12_v_t_23 + +.dsp0_tile 25 23 +routing sp4_h_l_37 sp4_v_t_37 + +.dsp2_tile 25 25 +routing sp4_h_l_42 sp4_v_t_37 + +.ipcon_tile 0 1 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 1 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 2 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 2 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 3 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 3 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 +routing sp12_h_l_23 sp12_v_t_23 + +.ipcon_tile 0 4 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 4 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 9 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 9 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 14 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 19 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 19 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 20 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 20 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 21 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 21 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 22 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 22 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 27 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 27 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 +buffer local_g0_0 lutff_4/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_0 local_g3_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_24 local_g2_0 + +.ipcon_tile 0 28 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 28 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 29 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 29 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 0 30 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + +.ipcon_tile 25 30 +Cascade IPCON_LC00_inmux02_5 +Cascade IPCON_LC01_inmux02_5 +Cascade IPCON_LC02_inmux02_5 +Cascade IPCON_LC03_inmux02_5 +Cascade IPCON_LC04_inmux02_5 +Cascade IPCON_LC05_inmux02_5 +Cascade IPCON_LC06_inmux02_5 +Cascade IPCON_LC07_inmux02_5 +LC_0 0000111100001111 0000 +LC_1 0000111100001111 0000 +LC_2 0000111100001111 0000 +LC_3 0000111100001111 0000 +LC_4 0000111100001111 0000 +LC_5 0000111100001111 0000 +LC_6 0000111100001111 0000 +LC_7 0000111100001111 0000 + diff --git a/icefuzz/tests/sb_io_i3c.rpt b/icefuzz/tests/sb_io_i3c.rpt new file mode 100644 index 0000000..0b76167 --- /dev/null +++ b/icefuzz/tests/sb_io_i3c.rpt @@ -0,0 +1,108 @@ +# ****************************************************************************** + +# iCEcube Static Timer + +# Version: 2017.08.27940 + +# Build Date: Sep 12 2017 08:03:55 + +# File Generated: Jan 13 2018 18:40:35 + +# Purpose: Timing Report with critical paths info + +# Copyright (C) 2006-2010 by Lattice Semiconductor Corp. All rights reserved. + +# ****************************************************************************** + +Device: iCE40UP5KUP5K +Derating factors (Best:Typical:Worst) :- ( 1 : 1 : 1 ) +Derating factor used to generate this timing report: Worst +Based on the following operating conditions +Junction Temperature(degree Celsius): 0 +Core Voltage(V): -1 +Process Corner: Worst +NOTE: +Please check both worst-case and best-case scenarios for "Setup Times" +and "Hold Times" checks +Maximum Operating Frequency is: N/A + + + ##################################################################### + 3::Datasheet Report + +All values are in Picoseconds + ===================================================================== + + 3.1::Setup Times + ---------------- + +Data Port Clock Port Setup Times Clock Reference:Phase +--------- ---------- ----------- --------------------- + + + 3.2::Clock to Out + ----------------- + +Data Port Clock Port Clock to Out Clock Reference:Phase +--------- ---------- ------------ --------------------- + + + 3.3::Pad to Pad + --------------- + +Port Name (Input) Port Name (Output) Pad to Pad +----------------- ------------------ ---------- + + + 3.4::Hold Times + --------------- + +Data Port Clock Port Hold Times Clock Reference:Phase +--------- ---------- ---------- --------------------- + + + 3.5::Minimum Clock to Out + ------------------------- + +Data Port Clock Port Minimum Clock to Out Clock Reference:Phase +--------- ---------- -------------------- --------------------- + + + 3.6::Minimum Pad To Pad + ----------------------- + +Port Name (Input) Port Name (Output) Minimum Pad To Pad +----------------- ------------------ ------------------ + +===================================================================== + End of Datasheet Report +##################################################################### + +##################################################################### + 6::Path Details for DataSheet +===================================================================== + + + +===================================================================== + End of Path Details for Datasheet +##################################################################### + +##################################################################### + Detailed Setup Report for all timing paths +===================================================================== +===================================================================== + End of Detailed Setup Report for all timing paths +##################################################################### + +##################################################################### + Detailed Hold Report for all timing paths +===================================================================== +===================================================================== + End of Detailed Hold Report for all timing paths +##################################################################### + +##################################################################### + End of Timing Report +##################################################################### + diff --git a/icefuzz/tests/sb_io_i3c.vlog b/icefuzz/tests/sb_io_i3c.vlog new file mode 100644 index 0000000..6b45b46 --- /dev/null +++ b/icefuzz/tests/sb_io_i3c.vlog @@ -0,0 +1,278 @@ +// Reading file 'sb_io_i3c.asc'.. + +module chip (input pin_23_puen, input pin_25_puen, input pin_23_wkpuen, input pin_25_wkpuen, input pin_23, input pin_25); + +wire pin_23_puen; +// (7, 1, 'neigh_op_bnr_0') +// (7, 1, 'neigh_op_bnr_4') +// (8, 0, 'io_0/D_IN_0') +// (8, 0, 'io_0/PAD') +// (8, 0, 'span12_vert_0') +// (8, 1, 'neigh_op_bot_0') +// (8, 1, 'neigh_op_bot_4') +// (8, 1, 'sp12_v_b_0') +// (8, 1, 'sp12_v_t_23') +// (8, 2, 'sp12_v_b_23') +// (8, 3, 'sp12_v_b_20') +// (8, 4, 'sp12_v_b_19') +// (8, 5, 'sp12_v_b_16') +// (8, 6, 'sp12_v_b_15') +// (8, 7, 'sp12_v_b_12') +// (8, 8, 'sp12_v_b_11') +// (8, 9, 'sp12_v_b_8') +// (8, 10, 'sp12_v_b_7') +// (8, 11, 'sp12_v_b_4') +// (8, 12, 'sp12_v_b_3') +// (8, 13, 'sp12_v_b_0') +// (8, 13, 'sp12_v_t_23') +// (8, 14, 'sp12_v_b_23') +// (8, 15, 'sp12_v_b_20') +// (8, 16, 'sp12_v_b_19') +// (8, 17, 'sp12_v_b_16') +// (8, 18, 'sp12_v_b_15') +// (8, 19, 'sp12_v_b_12') +// (8, 20, 'sp12_v_b_11') +// (8, 21, 'sp12_v_b_8') +// (8, 22, 'sp12_v_b_7') +// (8, 23, 'sp12_v_b_4') +// (8, 24, 'sp12_v_b_3') +// (8, 25, 'sp12_h_r_0') +// (8, 25, 'sp12_v_b_0') +// (9, 1, 'neigh_op_bnl_0') +// (9, 1, 'neigh_op_bnl_4') +// (9, 25, 'sp12_h_r_3') +// (10, 25, 'sp12_h_r_4') +// (11, 25, 'sp12_h_r_7') +// (12, 25, 'sp12_h_r_8') +// (13, 25, 'sp12_h_r_11') +// (14, 25, 'sp12_h_r_12') +// (15, 25, 'sp12_h_r_15') +// (16, 25, 'sp12_h_r_16') +// (17, 25, 'sp12_h_r_19') +// (17, 25, 'sp4_h_r_11') +// (18, 25, 'sp12_h_r_20') +// (18, 25, 'sp4_h_r_22') +// (19, 25, 'sp12_h_r_23') +// (19, 25, 'sp4_h_r_35') +// (20, 25, 'sp12_h_l_23') +// (20, 25, 'sp4_h_r_46') +// (21, 25, 'sp4_h_l_46') +// (21, 25, 'sp4_h_r_7') +// (22, 25, 'sp4_h_r_18') +// (23, 25, 'sp4_h_r_31') +// (24, 25, 'sp4_h_r_42') +// (24, 26, 'sp4_r_v_b_37') +// (24, 27, 'sp4_r_v_b_24') +// (24, 28, 'sp4_r_v_b_13') +// (24, 29, 'sp4_r_v_b_0') +// (25, 25, 'sp4_h_l_42') +// (25, 25, 'sp4_v_t_37') +// (25, 26, 'sp4_v_b_37') +// (25, 27, 'local_g2_0') +// (25, 27, 'lutff_6/in_0') +// (25, 27, 'sp4_v_b_24') +// (25, 28, 'sp4_v_b_13') +// (25, 29, 'sp4_v_b_0') + +wire pin_25_puen; +// (8, 1, 'neigh_op_bnr_0') +// (8, 1, 'neigh_op_bnr_4') +// (9, 0, 'io_0/D_IN_0') +// (9, 0, 'io_0/PAD') +// (9, 0, 'span12_vert_8') +// (9, 1, 'neigh_op_bot_0') +// (9, 1, 'neigh_op_bot_4') +// (9, 1, 'sp12_v_b_8') +// (9, 2, 'sp12_v_b_7') +// (9, 3, 'sp12_v_b_4') +// (9, 4, 'sp12_v_b_3') +// (9, 5, 'sp12_h_r_0') +// (9, 5, 'sp12_v_b_0') +// (10, 1, 'neigh_op_bnl_0') +// (10, 1, 'neigh_op_bnl_4') +// (10, 5, 'sp12_h_r_3') +// (11, 5, 'sp12_h_r_4') +// (12, 5, 'sp12_h_r_7') +// (13, 5, 'sp12_h_r_8') +// (14, 5, 'sp12_h_r_11') +// (15, 5, 'sp12_h_r_12') +// (16, 5, 'sp12_h_r_15') +// (17, 5, 'sp12_h_r_16') +// (18, 5, 'sp12_h_r_19') +// (19, 5, 'sp12_h_r_20') +// (20, 5, 'sp12_h_r_23') +// (20, 20, 'sp4_r_v_b_43') +// (20, 21, 'sp4_r_v_b_30') +// (20, 22, 'sp4_r_v_b_19') +// (20, 23, 'sp4_r_v_b_6') +// (21, 5, 'sp12_h_l_23') +// (21, 5, 'sp12_v_t_23') +// (21, 6, 'sp12_v_b_23') +// (21, 7, 'sp12_v_b_20') +// (21, 8, 'sp12_v_b_19') +// (21, 9, 'sp12_v_b_16') +// (21, 10, 'sp12_v_b_15') +// (21, 11, 'sp12_v_b_12') +// (21, 12, 'sp12_v_b_11') +// (21, 13, 'sp12_v_b_8') +// (21, 14, 'sp12_v_b_7') +// (21, 15, 'sp12_v_b_4') +// (21, 16, 'sp12_v_b_3') +// (21, 17, 'sp12_v_b_0') +// (21, 17, 'sp12_v_t_23') +// (21, 18, 'sp12_v_b_23') +// (21, 19, 'sp12_v_b_20') +// (21, 19, 'sp4_v_t_43') +// (21, 20, 'sp12_v_b_19') +// (21, 20, 'sp4_v_b_43') +// (21, 21, 'sp12_v_b_16') +// (21, 21, 'sp4_v_b_30') +// (21, 22, 'sp12_v_b_15') +// (21, 22, 'sp4_v_b_19') +// (21, 23, 'sp12_v_b_12') +// (21, 23, 'sp4_h_r_0') +// (21, 23, 'sp4_v_b_6') +// (21, 24, 'sp12_v_b_11') +// (21, 25, 'sp12_v_b_8') +// (21, 26, 'sp12_v_b_7') +// (21, 27, 'sp12_v_b_4') +// (21, 28, 'sp12_v_b_3') +// (21, 29, 'sp12_v_b_0') +// (22, 23, 'sp4_h_r_13') +// (23, 23, 'sp4_h_r_24') +// (24, 23, 'sp4_h_r_37') +// (24, 24, 'sp4_r_v_b_37') +// (24, 25, 'sp4_r_v_b_24') +// (24, 26, 'sp4_r_v_b_13') +// (24, 27, 'sp4_r_v_b_0') +// (25, 23, 'sp4_h_l_37') +// (25, 23, 'sp4_v_t_37') +// (25, 24, 'sp4_v_b_37') +// (25, 25, 'sp4_v_b_24') +// (25, 26, 'sp4_v_b_13') +// (25, 27, 'local_g1_0') +// (25, 27, 'lutff_7/in_0') +// (25, 27, 'sp4_v_b_0') + +wire pin_23_wkpuen; +// (8, 1, 'neigh_op_bnr_2') +// (8, 1, 'neigh_op_bnr_6') +// (9, 0, 'io_1/D_IN_0') +// (9, 0, 'io_1/PAD') +// (9, 0, 'span12_vert_4') +// (9, 1, 'neigh_op_bot_2') +// (9, 1, 'neigh_op_bot_6') +// (9, 1, 'sp12_v_b_4') +// (9, 2, 'sp12_v_b_3') +// (9, 3, 'sp12_h_r_0') +// (9, 3, 'sp12_v_b_0') +// (10, 1, 'neigh_op_bnl_2') +// (10, 1, 'neigh_op_bnl_6') +// (10, 3, 'sp12_h_r_3') +// (11, 3, 'sp12_h_r_4') +// (12, 3, 'sp12_h_r_7') +// (13, 3, 'sp12_h_r_8') +// (14, 3, 'sp12_h_r_11') +// (15, 3, 'sp12_h_r_12') +// (16, 3, 'sp12_h_r_15') +// (17, 3, 'sp12_h_r_16') +// (18, 3, 'sp12_h_r_19') +// (19, 3, 'sp12_h_r_20') +// (20, 3, 'sp12_h_r_23') +// (21, 3, 'sp12_h_l_23') +// (21, 3, 'sp12_v_t_23') +// (21, 4, 'sp12_v_b_23') +// (21, 5, 'sp12_v_b_20') +// (21, 6, 'sp12_v_b_19') +// (21, 7, 'sp12_v_b_16') +// (21, 8, 'sp12_v_b_15') +// (21, 9, 'sp12_v_b_12') +// (21, 10, 'sp12_v_b_11') +// (21, 11, 'sp12_v_b_8') +// (21, 12, 'sp12_v_b_7') +// (21, 13, 'sp12_v_b_4') +// (21, 14, 'sp12_v_b_3') +// (21, 15, 'sp12_v_b_0') +// (21, 15, 'sp12_v_t_23') +// (21, 16, 'sp12_v_b_23') +// (21, 17, 'sp12_v_b_20') +// (21, 18, 'sp12_v_b_19') +// (21, 19, 'sp12_v_b_16') +// (21, 20, 'sp12_v_b_15') +// (21, 21, 'sp12_v_b_12') +// (21, 22, 'sp12_v_b_11') +// (21, 23, 'sp12_v_b_8') +// (21, 24, 'sp12_v_b_7') +// (21, 25, 'sp12_v_b_4') +// (21, 26, 'sp12_v_b_3') +// (21, 27, 'sp12_h_r_0') +// (21, 27, 'sp12_v_b_0') +// (22, 27, 'sp12_h_r_3') +// (23, 27, 'sp12_h_r_4') +// (24, 27, 'sp12_h_r_7') +// (25, 27, 'local_g0_0') +// (25, 27, 'lutff_4/in_0') +// (25, 27, 'sp12_h_r_8') + +wire pin_25_wkpuen; +// (12, 1, 'neigh_op_bnr_2') +// (12, 1, 'neigh_op_bnr_6') +// (13, 0, 'io_1/D_IN_0') +// (13, 0, 'io_1/PAD') +// (13, 0, 'span12_vert_4') +// (13, 1, 'neigh_op_bot_2') +// (13, 1, 'neigh_op_bot_6') +// (13, 1, 'sp12_v_b_4') +// (13, 2, 'sp12_v_b_3') +// (13, 3, 'sp12_h_r_0') +// (13, 3, 'sp12_v_b_0') +// (14, 1, 'neigh_op_bnl_2') +// (14, 1, 'neigh_op_bnl_6') +// (14, 3, 'sp12_h_r_3') +// (15, 3, 'sp12_h_r_4') +// (16, 3, 'sp12_h_r_7') +// (17, 3, 'sp12_h_r_8') +// (18, 3, 'sp12_h_r_11') +// (19, 3, 'sp12_h_r_12') +// (20, 3, 'sp12_h_r_15') +// (21, 3, 'sp12_h_r_16') +// (22, 3, 'sp12_h_r_19') +// (23, 3, 'sp12_h_r_20') +// (24, 3, 'sp12_h_r_23') +// (25, 3, 'sp12_h_l_23') +// (25, 3, 'sp12_v_t_23') +// (25, 4, 'sp12_v_b_23') +// (25, 5, 'sp12_v_b_20') +// (25, 6, 'sp12_v_b_19') +// (25, 7, 'sp12_v_b_16') +// (25, 8, 'sp12_v_b_15') +// (25, 9, 'sp12_v_b_12') +// (25, 10, 'sp12_v_b_11') +// (25, 11, 'sp12_v_b_8') +// (25, 12, 'sp12_v_b_7') +// (25, 13, 'sp12_v_b_4') +// (25, 14, 'sp12_v_b_3') +// (25, 15, 'sp12_v_b_0') +// (25, 15, 'sp12_v_t_23') +// (25, 16, 'sp12_v_b_23') +// (25, 17, 'sp12_v_b_20') +// (25, 18, 'sp12_v_b_19') +// (25, 19, 'sp12_v_b_16') +// (25, 20, 'sp12_v_b_15') +// (25, 21, 'sp12_v_b_12') +// (25, 22, 'sp12_v_b_11') +// (25, 23, 'sp12_v_b_8') +// (25, 24, 'sp12_v_b_7') +// (25, 25, 'sp12_v_b_4') +// (25, 26, 'sp12_v_b_3') +// (25, 27, 'local_g3_0') +// (25, 27, 'lutff_5/in_0') +// (25, 27, 'sp12_v_b_0') + +// Debug Symbols + + +// Warning: unmatched port 'pin_23' +// Warning: unmatched port 'pin_25' + +endmodule diff --git a/icefuzz/timings.py b/icefuzz/timings.py index 009fd7d..7c57b1c 100644 --- a/icefuzz/timings.py +++ b/icefuzz/timings.py @@ -227,6 +227,12 @@ for filename in sdf_inputs: for stmt in cell: if stmt[0] == "CELLTYPE": celltype = rewrite_celltype(stmt[1][1:-1]) + if celltype == "SB_MAC16": + try: + with open(filename.replace(".sdf", ".dsp"), "r") as dspf: + celltype = dspf.readline().strip() + except: + break database.setdefault(celltype, set()) if stmt[0] == "DELAY": diff --git a/icefuzz/timings_up5k.txt b/icefuzz/timings_up5k.txt index 3f64d60..550074c 100644 --- a/icefuzz/timings_up5k.txt +++ b/icefuzz/timings_up5k.txt @@ -248,16 +248,14 @@ IOPATH posedge:LEDDCLK PWMOUT0 550.594:550.594:550.594 564.867: IOPATH posedge:LEDDCLK PWMOUT1 579.326:579.326:579.326 586.133:586.133:586.133 IOPATH posedge:LEDDCLK PWMOUT2 568.55:568.55:568.55 575.937:575.937:575.937 -CELL SB_MAC16 +CELL SB_MAC16_ACC_U_16P16_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 @@ -268,43 +266,30 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 @@ -319,41 +304,24 @@ HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 -HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 -HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 -HOLD negedge:D[9] posedge:CLK 172.676:172.676:172.676 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 149.49:149.49:149.49 -HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 -HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 @@ -361,9 +329,7 @@ HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 @@ -374,45 +340,30 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 @@ -427,47 +378,29 @@ HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 -HOLD posedge:D[9] posedge:CLK 133.831:133.831:133.831 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.24:181.24:181.24 -HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 -HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -480,2479 +413,9793 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 -SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.51:-22.51:-22.51 -SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 -SETUP negedge:B[8] posedge:CLK -49.687:-49.687:-49.687 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 -SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 -SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 -SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 -SETUP negedge:D[9] posedge:CLK 147.19:147.19:147.19 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 -SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 -SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 -SETUP negedge:OHOLDBOT posedge:CLK 313.067:313.067:313.067 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 -SETUP posedge:A[5] posedge:CLK -26:-26:-26 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.874:1.874:1.874 SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 -SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 -SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 -SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194 -SETUP posedge:D[9] posedge:CLK 141.251:141.251:141.251 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 -SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 -SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 -SETUP posedge:OHOLDBOT posedge:CLK 254.814:254.814:254.814 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -IOPATH A[0] ACCUMCO 6685.97:6685.97:6685.97 6852.76:6852.76:6852.76 -IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 -IOPATH A[0] CO 7258.38:7258.38:7258.38 7398.75:7398.75:7398.75 -IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11 -IOPATH A[0] O[0] 2459.19:2459.19:2459.19 2596.36:2596.36:2596.36 -IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22 -IOPATH A[0] O[1] 2603.09:2603.09:2603.09 2703.7:2703.7:2703.7 -IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41 -IOPATH A[0] O[2] 2785.6:2785.6:2785.6 2935.01:2935.01:2935.01 -IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23 -IOPATH A[0] O[3] 2985.38:2985.38:2985.38 3127.45:3127.45:3127.45 -IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28 -IOPATH A[0] O[4] 3382.83:3382.83:3382.83 3512.97:3512.97:3512.97 -IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68 -IOPATH A[0] O[5] 3510.62:3510.62:3510.62 3657.33:3657.33:3657.33 -IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49 -IOPATH A[0] O[6] 3643.5:3643.5:3643.5 3805.9:3805.9:3805.9 -IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46 -IOPATH A[0] O[7] 3709.92:3709.92:3709.92 3858.25:3858.25:3858.25 -IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07 -IOPATH A[0] O[8] 4750.6:4750.6:4750.6 4956.36:4956.36:4956.36 -IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8 -IOPATH A[0] O[9] 4932.1:4932.1:4932.1 5157.96:5157.96:5157.96 -IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26 -IOPATH A[0] O[10] 5169.63:5169.63:5169.63 5375.74:5375.74:5375.74 -IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91 -IOPATH A[0] O[11] 5445.52:5445.52:5445.52 5637.05:5637.05:5637.05 -IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49 -IOPATH A[0] O[12] 5702.16:5702.16:5702.16 5855.49:5855.49:5855.49 -IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84 -IOPATH A[0] O[13] 5845.52:5845.52:5845.52 6033.48:6033.48:6033.48 -IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51 -IOPATH A[0] O[14] 6218.76:6218.76:6218.76 6397.6:6397.6:6397.6 -IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43 -IOPATH A[0] O[15] 6130.48:6130.48:6130.48 6323.85:6323.85:6323.85 -IOPATH A[0] O[16] 7049.66:7049.66:7049.66 7301.29:7301.29:7301.29 -IOPATH A[0] O[17] 7150.46:7150.46:7150.46 7374.32:7374.32:7374.32 -IOPATH A[0] O[18] 7280.04:7280.04:7280.04 7530.5:7530.5:7530.5 -IOPATH A[0] O[19] 7450.57:7450.57:7450.57 7646.06:7646.06:7646.06 -IOPATH A[0] O[20] 7336.14:7336.14:7336.14 7507.32:7507.32:7507.32 -IOPATH A[0] O[21] 7272.24:7272.24:7272.24 7437.76:7437.76:7437.76 -IOPATH A[0] O[22] 7438.03:7438.03:7438.03 7643.97:7643.97:7643.97 -IOPATH A[0] O[23] 7498.15:7498.15:7498.15 7675.06:7675.06:7675.06 -IOPATH A[0] O[24] 7415.39:7415.39:7415.39 7572.85:7572.85:7572.85 -IOPATH A[0] O[25] 7526.63:7526.63:7526.63 7709.04:7709.04:7709.04 -IOPATH A[0] O[26] 7689.14:7689.14:7689.14 7890.71:7890.71:7890.71 -IOPATH A[0] O[27] 7682.25:7682.25:7682.25 7846.72:7846.72:7846.72 -IOPATH A[0] O[28] 7610.33:7610.33:7610.33 7766.94:7766.94:7766.94 -IOPATH A[0] O[29] 7696.02:7696.02:7696.02 7857.73:7857.73:7857.73 -IOPATH A[0] O[30] 7763.15:7763.15:7763.15 7895.13:7895.13:7895.13 -IOPATH A[0] O[31] 7813.21:7813.21:7813.21 7903.39:7903.39:7903.39 -IOPATH A[0] SIGNEXTOUT 6091.69:6091.69:6091.69 5959.03:5959.03:5959.03 -IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 -IOPATH A[1] ACCUMCO 6856.94:6856.94:6856.94 7023.74:7023.74:7023.74 -IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 -IOPATH A[1] CO 7429.35:7429.35:7429.35 7569.72:7569.72:7569.72 -IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63 -IOPATH A[1] O[1] 2574.23:2574.23:2574.23 2672.69:2672.69:2672.69 -IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82 -IOPATH A[1] O[2] 2908.73:2908.73:2908.73 3058.15:3058.15:3058.15 -IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65 -IOPATH A[1] O[3] 3108.52:3108.52:3108.52 3250.59:3250.59:3250.59 -IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55 -IOPATH A[1] O[4] 3505.97:3505.97:3505.97 3636.1:3636.1:3636.1 -IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7 -IOPATH A[1] O[5] 3633.76:3633.76:3633.76 3780.47:3780.47:3780.47 -IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51 -IOPATH A[1] O[6] 3781.06:3781.06:3781.06 3929.04:3929.04:3929.04 -IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48 -IOPATH A[1] O[7] 3874.3:3874.3:3874.3 4006.98:4006.98:4006.98 -IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74 -IOPATH A[1] O[8] 4985.28:4985.28:4985.28 5191.03:5191.03:5191.03 -IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48 -IOPATH A[1] O[9] 5166.77:5166.77:5166.77 5392.64:5392.64:5392.64 -IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94 -IOPATH A[1] O[10] 5404.3:5404.3:5404.3 5610.42:5610.42:5610.42 -IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58 -IOPATH A[1] O[11] 5680.2:5680.2:5680.2 5871.73:5871.73:5871.73 -IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17 -IOPATH A[1] O[12] 5936.83:5936.83:5936.83 6090.17:6090.17:6090.17 -IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51 -IOPATH A[1] O[13] 6080.19:6080.19:6080.19 6268.15:6268.15:6268.15 -IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18 -IOPATH A[1] O[14] 6453.44:6453.44:6453.44 6632.27:6632.27:6632.27 -IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11 -IOPATH A[1] O[15] 6365.16:6365.16:6365.16 6558.52:6558.52:6558.52 -IOPATH A[1] O[16] 7284.34:7284.34:7284.34 7535.96:7535.96:7535.96 -IOPATH A[1] O[17] 7385.13:7385.13:7385.13 7608.99:7608.99:7608.99 -IOPATH A[1] O[18] 7514.72:7514.72:7514.72 7765.18:7765.18:7765.18 -IOPATH A[1] O[19] 7685.25:7685.25:7685.25 7880.74:7880.74:7880.74 -IOPATH A[1] O[20] 7570.82:7570.82:7570.82 7741.99:7741.99:7741.99 -IOPATH A[1] O[21] 7506.92:7506.92:7506.92 7672.44:7672.44:7672.44 -IOPATH A[1] O[22] 7672.71:7672.71:7672.71 7878.65:7878.65:7878.65 -IOPATH A[1] O[23] 7732.82:7732.82:7732.82 7909.73:7909.73:7909.73 -IOPATH A[1] O[24] 7650.07:7650.07:7650.07 7807.52:7807.52:7807.52 -IOPATH A[1] O[25] 7761.31:7761.31:7761.31 7943.72:7943.72:7943.72 -IOPATH A[1] O[26] 7923.82:7923.82:7923.82 8125.38:8125.38:8125.38 -IOPATH A[1] O[27] 7916.93:7916.93:7916.93 8081.4:8081.4:8081.4 -IOPATH A[1] O[28] 7845.01:7845.01:7845.01 8001.62:8001.62:8001.62 -IOPATH A[1] O[29] 7930.7:7930.7:7930.7 8092.4:8092.4:8092.4 -IOPATH A[1] O[30] 7997.83:7997.83:7997.83 8129.81:8129.81:8129.81 -IOPATH A[1] O[31] 8047.89:8047.89:8047.89 8138.07:8138.07:8138.07 -IOPATH A[1] SIGNEXTOUT 6262.67:6262.67:6262.67 6130:6130:6130 -IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 -IOPATH A[2] ACCUMCO 6862.77:6862.77:6862.77 7029.56:7029.56:7029.56 -IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 -IOPATH A[2] CO 7435.17:7435.17:7435.17 7575.55:7575.55:7575.55 -IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93 -IOPATH A[2] O[2] 2891.21:2891.21:2891.21 3040.64:3040.64:3040.64 -IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76 -IOPATH A[2] O[3] 3091:3091:3091 3233.07:3233.07:3233.07 -IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66 -IOPATH A[2] O[4] 3488.45:3488.45:3488.45 3618.58:3618.58:3618.58 -IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678 -IOPATH A[2] O[5] 3616.24:3616.24:3616.24 3762.95:3762.95:3762.95 -IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81 -IOPATH A[2] O[6] 3766.73:3766.73:3766.73 3913.65:3913.65:3913.65 -IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77 -IOPATH A[2] O[7] 3859.97:3859.97:3859.97 3992.66:3992.66:3992.66 -IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34 -IOPATH A[2] O[8] 4991.1:4991.1:4991.1 5196.86:5196.86:5196.86 -IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08 -IOPATH A[2] O[9] 5172.6:5172.6:5172.6 5398.46:5398.46:5398.46 -IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54 -IOPATH A[2] O[10] 5410.12:5410.12:5410.12 5616.24:5616.24:5616.24 -IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18 -IOPATH A[2] O[11] 5686.02:5686.02:5686.02 5877.55:5877.55:5877.55 -IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77 -IOPATH A[2] O[12] 5942.65:5942.65:5942.65 6095.99:6095.99:6095.99 -IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11 -IOPATH A[2] O[13] 6086.01:6086.01:6086.01 6273.98:6273.98:6273.98 -IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78 -IOPATH A[2] O[14] 6459.26:6459.26:6459.26 6638.09:6638.09:6638.09 -IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71 -IOPATH A[2] O[15] 6370.98:6370.98:6370.98 6564.34:6564.34:6564.34 -IOPATH A[2] O[16] 7290.16:7290.16:7290.16 7541.78:7541.78:7541.78 -IOPATH A[2] O[17] 7390.95:7390.95:7390.95 7614.82:7614.82:7614.82 -IOPATH A[2] O[18] 7520.54:7520.54:7520.54 7771:7771:7771 -IOPATH A[2] O[19] 7691.07:7691.07:7691.07 7886.56:7886.56:7886.56 -IOPATH A[2] O[20] 7576.64:7576.64:7576.64 7747.81:7747.81:7747.81 -IOPATH A[2] O[21] 7512.74:7512.74:7512.74 7678.26:7678.26:7678.26 -IOPATH A[2] O[22] 7678.53:7678.53:7678.53 7884.47:7884.47:7884.47 -IOPATH A[2] O[23] 7738.64:7738.64:7738.64 7915.55:7915.55:7915.55 -IOPATH A[2] O[24] 7655.89:7655.89:7655.89 7813.34:7813.34:7813.34 -IOPATH A[2] O[25] 7767.13:7767.13:7767.13 7949.54:7949.54:7949.54 -IOPATH A[2] O[26] 7929.64:7929.64:7929.64 8131.2:8131.2:8131.2 -IOPATH A[2] O[27] 7922.75:7922.75:7922.75 8087.22:8087.22:8087.22 -IOPATH A[2] O[28] 7850.83:7850.83:7850.83 8007.44:8007.44:8007.44 -IOPATH A[2] O[29] 7936.52:7936.52:7936.52 8098.22:8098.22:8098.22 -IOPATH A[2] O[30] 8003.65:8003.65:8003.65 8135.63:8135.63:8135.63 -IOPATH A[2] O[31] 8053.71:8053.71:8053.71 8143.89:8143.89:8143.89 -IOPATH A[2] SIGNEXTOUT 6268.49:6268.49:6268.49 6135.82:6135.82:6135.82 -IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 -IOPATH A[3] ACCUMCO 6919.26:6919.26:6919.26 7086.05:7086.05:7086.05 -IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 -IOPATH A[3] CO 7491.66:7491.66:7491.66 7632.04:7632.04:7632.04 -IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27 -IOPATH A[3] O[3] 2860.07:2860.07:2860.07 3015.74:3015.74:3015.74 -IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26 -IOPATH A[3] O[4] 3292.26:3292.26:3292.26 3447.55:3447.55:3447.55 -IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41 -IOPATH A[3] O[5] 3619.43:3619.43:3619.43 3765.91:3765.91:3765.91 -IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22 -IOPATH A[3] O[6] 3793.73:3793.73:3793.73 3940.65:3940.65:3940.65 -IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19 -IOPATH A[3] O[7] 3886.98:3886.98:3886.98 4019.66:4019.66:4019.66 -IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98 -IOPATH A[3] O[8] 5047.59:5047.59:5047.59 5253.35:5253.35:5253.35 -IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72 -IOPATH A[3] O[9] 5229.09:5229.09:5229.09 5454.95:5454.95:5454.95 -IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18 -IOPATH A[3] O[10] 5466.62:5466.62:5466.62 5672.73:5672.73:5672.73 -IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83 -IOPATH A[3] O[11] 5742.51:5742.51:5742.51 5934.04:5934.04:5934.04 -IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41 -IOPATH A[3] O[12] 5999.15:5999.15:5999.15 6152.48:6152.48:6152.48 -IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75 -IOPATH A[3] O[13] 6142.5:6142.5:6142.5 6330.47:6330.47:6330.47 -IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43 -IOPATH A[3] O[14] 6515.75:6515.75:6515.75 6694.58:6694.58:6694.58 -IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35 -IOPATH A[3] O[15] 6427.47:6427.47:6427.47 6620.84:6620.84:6620.84 -IOPATH A[3] O[16] 7346.65:7346.65:7346.65 7598.27:7598.27:7598.27 -IOPATH A[3] O[17] 7447.44:7447.44:7447.44 7671.31:7671.31:7671.31 -IOPATH A[3] O[18] 7577.03:7577.03:7577.03 7827.49:7827.49:7827.49 -IOPATH A[3] O[19] 7747.56:7747.56:7747.56 7943.05:7943.05:7943.05 -IOPATH A[3] O[20] 7633.13:7633.13:7633.13 7804.31:7804.31:7804.31 -IOPATH A[3] O[21] 7569.23:7569.23:7569.23 7734.75:7734.75:7734.75 -IOPATH A[3] O[22] 7735.02:7735.02:7735.02 7940.96:7940.96:7940.96 -IOPATH A[3] O[23] 7795.13:7795.13:7795.13 7972.05:7972.05:7972.05 -IOPATH A[3] O[24] 7712.38:7712.38:7712.38 7869.84:7869.84:7869.84 -IOPATH A[3] O[25] 7823.62:7823.62:7823.62 8006.03:8006.03:8006.03 -IOPATH A[3] O[26] 7986.13:7986.13:7986.13 8187.69:8187.69:8187.69 -IOPATH A[3] O[27] 7979.24:7979.24:7979.24 8143.71:8143.71:8143.71 -IOPATH A[3] O[28] 7907.32:7907.32:7907.32 8063.93:8063.93:8063.93 -IOPATH A[3] O[29] 7993.01:7993.01:7993.01 8154.72:8154.72:8154.72 -IOPATH A[3] O[30] 8060.14:8060.14:8060.14 8192.12:8192.12:8192.12 -IOPATH A[3] O[31] 8110.2:8110.2:8110.2 8200.38:8200.38:8200.38 -IOPATH A[3] SIGNEXTOUT 6324.98:6324.98:6324.98 6192.31:6192.31:6192.31 -IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 -IOPATH A[4] ACCUMCO 7089.98:7089.98:7089.98 7256.77:7256.77:7256.77 -IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 -IOPATH A[4] CO 7662.38:7662.38:7662.38 7802.76:7802.76:7802.76 -IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37 -IOPATH A[4] O[4] 3327.65:3327.65:3327.65 3482.94:3482.94:3482.94 -IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52 -IOPATH A[4] O[5] 3654.83:3654.83:3654.83 3801.3:3801.3:3801.3 -IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33 -IOPATH A[4] O[6] 3829.13:3829.13:3829.13 3976.04:3976.04:3976.04 -IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3 -IOPATH A[4] O[7] 3922.37:3922.37:3922.37 4055.05:4055.05:4055.05 -IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62 -IOPATH A[4] O[8] 5218.31:5218.31:5218.31 5424.07:5424.07:5424.07 -IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36 -IOPATH A[4] O[9] 5399.81:5399.81:5399.81 5625.67:5625.67:5625.67 -IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82 -IOPATH A[4] O[10] 5637.34:5637.34:5637.34 5843.45:5843.45:5843.45 -IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46 -IOPATH A[4] O[11] 5913.23:5913.23:5913.23 6104.76:6104.76:6104.76 -IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05 -IOPATH A[4] O[12] 6169.87:6169.87:6169.87 6323.2:6323.2:6323.2 -IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39 -IOPATH A[4] O[13] 6313.23:6313.23:6313.23 6501.19:6501.19:6501.19 -IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06 -IOPATH A[4] O[14] 6686.47:6686.47:6686.47 6865.31:6865.31:6865.31 -IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99 -IOPATH A[4] O[15] 6598.19:6598.19:6598.19 6791.56:6791.56:6791.56 -IOPATH A[4] O[16] 7517.37:7517.37:7517.37 7769:7769:7769 -IOPATH A[4] O[17] 7618.17:7618.17:7618.17 7842.03:7842.03:7842.03 -IOPATH A[4] O[18] 7747.75:7747.75:7747.75 7998.21:7998.21:7998.21 -IOPATH A[4] O[19] 7918.29:7918.29:7918.29 8113.78:8113.78:8113.78 -IOPATH A[4] O[20] 7803.85:7803.85:7803.85 7975.03:7975.03:7975.03 -IOPATH A[4] O[21] 7739.96:7739.96:7739.96 7905.47:7905.47:7905.47 -IOPATH A[4] O[22] 7905.75:7905.75:7905.75 8111.68:8111.68:8111.68 -IOPATH A[4] O[23] 7965.86:7965.86:7965.86 8142.77:8142.77:8142.77 -IOPATH A[4] O[24] 7883.1:7883.1:7883.1 8040.56:8040.56:8040.56 -IOPATH A[4] O[25] 7994.35:7994.35:7994.35 8176.75:8176.75:8176.75 -IOPATH A[4] O[26] 8156.85:8156.85:8156.85 8358.42:8358.42:8358.42 -IOPATH A[4] O[27] 8149.96:8149.96:8149.96 8314.43:8314.43:8314.43 -IOPATH A[4] O[28] 8078.04:8078.04:8078.04 8234.66:8234.66:8234.66 -IOPATH A[4] O[29] 8163.73:8163.73:8163.73 8325.44:8325.44:8325.44 -IOPATH A[4] O[30] 8230.87:8230.87:8230.87 8362.85:8362.85:8362.85 -IOPATH A[4] O[31] 8280.92:8280.92:8280.92 8371.1:8371.1:8371.1 -IOPATH A[4] SIGNEXTOUT 6495.7:6495.7:6495.7 6363.04:6363.04:6363.04 -IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 -IOPATH A[5] ACCUMCO 7324.27:7324.27:7324.27 7491.06:7491.06:7491.06 -IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 -IOPATH A[5] CO 7896.68:7896.68:7896.68 8037.05:8037.05:8037.05 -IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32 -IOPATH A[5] O[5] 3531.56:3531.56:3531.56 3703.19:3703.19:3703.19 -IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62 -IOPATH A[5] O[6] 3858.39:3858.39:3858.39 4005.31:4005.31:4005.31 -IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52 -IOPATH A[5] O[7] 4024.87:4024.87:4024.87 4197.54:4197.54:4197.54 -IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2 -IOPATH A[5] O[8] 5452.61:5452.61:5452.61 5658.36:5658.36:5658.36 -IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93 -IOPATH A[5] O[9] 5634.1:5634.1:5634.1 5859.96:5859.96:5859.96 -IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39 -IOPATH A[5] O[10] 5871.63:5871.63:5871.63 6077.75:6077.75:6077.75 -IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04 -IOPATH A[5] O[11] 6147.53:6147.53:6147.53 6339.06:6339.06:6339.06 -IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62 -IOPATH A[5] O[12] 6404.16:6404.16:6404.16 6557.49:6557.49:6557.49 -IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97 -IOPATH A[5] O[13] 6547.52:6547.52:6547.52 6735.48:6735.48:6735.48 -IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64 -IOPATH A[5] O[14] 6920.77:6920.77:6920.77 7099.6:7099.6:7099.6 -IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57 -IOPATH A[5] O[15] 6832.49:6832.49:6832.49 7025.85:7025.85:7025.85 -IOPATH A[5] O[16] 7751.67:7751.67:7751.67 8003.29:8003.29:8003.29 -IOPATH A[5] O[17] 7852.46:7852.46:7852.46 8076.32:8076.32:8076.32 -IOPATH A[5] O[18] 7982.05:7982.05:7982.05 8232.5:8232.5:8232.5 -IOPATH A[5] O[19] 8152.58:8152.58:8152.58 8348.07:8348.07:8348.07 -IOPATH A[5] O[20] 8038.15:8038.15:8038.15 8209.32:8209.32:8209.32 -IOPATH A[5] O[21] 7974.25:7974.25:7974.25 8139.76:8139.76:8139.76 -IOPATH A[5] O[22] 8140.04:8140.04:8140.04 8345.98:8345.98:8345.98 -IOPATH A[5] O[23] 8200.15:8200.15:8200.15 8377.06:8377.06:8377.06 -IOPATH A[5] O[24] 8117.39:8117.39:8117.39 8274.85:8274.85:8274.85 -IOPATH A[5] O[25] 8228.64:8228.64:8228.64 8411.05:8411.05:8411.05 -IOPATH A[5] O[26] 8391.15:8391.15:8391.15 8592.71:8592.71:8592.71 -IOPATH A[5] O[27] 8384.25:8384.25:8384.25 8548.72:8548.72:8548.72 -IOPATH A[5] O[28] 8312.34:8312.34:8312.34 8468.95:8468.95:8468.95 -IOPATH A[5] O[29] 8398.03:8398.03:8398.03 8559.73:8559.73:8559.73 -IOPATH A[5] O[30] 8465.16:8465.16:8465.16 8597.14:8597.14:8597.14 -IOPATH A[5] O[31] 8515.21:8515.21:8515.21 8605.39:8605.39:8605.39 -IOPATH A[5] SIGNEXTOUT 6729.99:6729.99:6729.99 6597.33:6597.33:6597.33 -IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 -IOPATH A[6] ACCUMCO 7092.45:7092.45:7092.45 7259.24:7259.24:7259.24 -IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 -IOPATH A[6] CO 7664.85:7664.85:7664.85 7805.23:7805.23:7805.23 -IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91 -IOPATH A[6] O[6] 3688.57:3688.57:3688.57 3835.49:3835.49:3835.49 -IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83 -IOPATH A[6] O[7] 3793.05:3793.05:3793.05 3965.72:3965.72:3965.72 -IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52 -IOPATH A[6] O[8] 5220.78:5220.78:5220.78 5426.54:5426.54:5426.54 -IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25 -IOPATH A[6] O[9] 5402.28:5402.28:5402.28 5628.14:5628.14:5628.14 -IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71 -IOPATH A[6] O[10] 5639.8:5639.8:5639.8 5845.92:5845.92:5845.92 -IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36 -IOPATH A[6] O[11] 5915.7:5915.7:5915.7 6107.23:6107.23:6107.23 -IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94 -IOPATH A[6] O[12] 6172.34:6172.34:6172.34 6325.67:6325.67:6325.67 -IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29 -IOPATH A[6] O[13] 6315.7:6315.7:6315.7 6503.66:6503.66:6503.66 -IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96 -IOPATH A[6] O[14] 6688.94:6688.94:6688.94 6867.77:6867.77:6867.77 -IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88 -IOPATH A[6] O[15] 6600.66:6600.66:6600.66 6794.03:6794.03:6794.03 -IOPATH A[6] O[16] 7519.84:7519.84:7519.84 7771.46:7771.46:7771.46 -IOPATH A[6] O[17] 7620.63:7620.63:7620.63 7844.5:7844.5:7844.5 -IOPATH A[6] O[18] 7750.22:7750.22:7750.22 8000.68:8000.68:8000.68 -IOPATH A[6] O[19] 7920.75:7920.75:7920.75 8116.24:8116.24:8116.24 -IOPATH A[6] O[20] 7806.32:7806.32:7806.32 7977.49:7977.49:7977.49 -IOPATH A[6] O[21] 7742.42:7742.42:7742.42 7907.94:7907.94:7907.94 -IOPATH A[6] O[22] 7908.21:7908.21:7908.21 8114.15:8114.15:8114.15 -IOPATH A[6] O[23] 7968.32:7968.32:7968.32 8145.24:8145.24:8145.24 -IOPATH A[6] O[24] 7885.57:7885.57:7885.57 8043.02:8043.02:8043.02 -IOPATH A[6] O[25] 7996.81:7996.81:7996.81 8179.22:8179.22:8179.22 -IOPATH A[6] O[26] 8159.32:8159.32:8159.32 8360.89:8360.89:8360.89 -IOPATH A[6] O[27] 8152.43:8152.43:8152.43 8316.9:8316.9:8316.9 -IOPATH A[6] O[28] 8080.51:8080.51:8080.51 8237.12:8237.12:8237.12 -IOPATH A[6] O[29] 8166.2:8166.2:8166.2 8327.91:8327.91:8327.91 -IOPATH A[6] O[30] 8233.33:8233.33:8233.33 8365.32:8365.32:8365.32 -IOPATH A[6] O[31] 8283.39:8283.39:8283.39 8373.57:8373.57:8373.57 -IOPATH A[6] SIGNEXTOUT 6498.17:6498.17:6498.17 6365.5:6365.5:6365.5 -IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 -IOPATH A[7] ACCUMCO 7141.28:7141.28:7141.28 7308.08:7308.08:7308.08 -IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 -IOPATH A[7] CO 7713.69:7713.69:7713.69 7854.06:7854.06:7854.06 -IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96 -IOPATH A[7] O[7] 3841.88:3841.88:3841.88 4014.55:4014.55:4014.55 -IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64 -IOPATH A[7] O[8] 5269.62:5269.62:5269.62 5475.37:5475.37:5475.37 -IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38 -IOPATH A[7] O[9] 5451.11:5451.11:5451.11 5676.98:5676.98:5676.98 -IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84 -IOPATH A[7] O[10] 5688.64:5688.64:5688.64 5894.76:5894.76:5894.76 -IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48 -IOPATH A[7] O[11] 5964.54:5964.54:5964.54 6156.07:6156.07:6156.07 -IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07 -IOPATH A[7] O[12] 6221.17:6221.17:6221.17 6374.5:6374.5:6374.5 -IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41 -IOPATH A[7] O[13] 6364.53:6364.53:6364.53 6552.49:6552.49:6552.49 -IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08 -IOPATH A[7] O[14] 6737.78:6737.78:6737.78 6916.61:6916.61:6916.61 -IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01 -IOPATH A[7] O[15] 6649.5:6649.5:6649.5 6842.86:6842.86:6842.86 -IOPATH A[7] O[16] 7568.68:7568.68:7568.68 7820.3:7820.3:7820.3 -IOPATH A[7] O[17] 7669.47:7669.47:7669.47 7893.33:7893.33:7893.33 -IOPATH A[7] O[18] 7799.06:7799.06:7799.06 8049.51:8049.51:8049.51 -IOPATH A[7] O[19] 7969.59:7969.59:7969.59 8165.08:8165.08:8165.08 -IOPATH A[7] O[20] 7855.16:7855.16:7855.16 8026.33:8026.33:8026.33 -IOPATH A[7] O[21] 7791.26:7791.26:7791.26 7956.78:7956.78:7956.78 -IOPATH A[7] O[22] 7957.05:7957.05:7957.05 8162.99:8162.99:8162.99 -IOPATH A[7] O[23] 8017.16:8017.16:8017.16 8194.07:8194.07:8194.07 -IOPATH A[7] O[24] 7934.4:7934.4:7934.4 8091.86:8091.86:8091.86 -IOPATH A[7] O[25] 8045.65:8045.65:8045.65 8228.06:8228.06:8228.06 -IOPATH A[7] O[26] 8208.16:8208.16:8208.16 8409.72:8409.72:8409.72 -IOPATH A[7] O[27] 8201.27:8201.27:8201.27 8365.74:8365.74:8365.74 -IOPATH A[7] O[28] 8129.35:8129.35:8129.35 8285.96:8285.96:8285.96 -IOPATH A[7] O[29] 8215.04:8215.04:8215.04 8376.74:8376.74:8376.74 -IOPATH A[7] O[30] 8282.17:8282.17:8282.17 8414.15:8414.15:8414.15 -IOPATH A[7] O[31] 8332.23:8332.23:8332.23 8422.41:8422.41:8422.41 -IOPATH A[7] SIGNEXTOUT 6547.01:6547.01:6547.01 6414.34:6414.34:6414.34 -IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 -IOPATH A[8] ACCUMCO 6373.5:6373.5:6373.5 6540.29:6540.29:6540.29 -IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 -IOPATH A[8] CO 6945.9:6945.9:6945.9 7086.27:7086.27:7086.27 -IOPATH A[8] O[8] 3202.06:3202.06:3202.06 3407.81:3407.81:3407.81 -IOPATH A[8] O[9] 3402.04:3402.04:3402.04 3627.9:3627.9:3627.9 -IOPATH A[8] O[10] 3673.26:3673.26:3673.26 3879.38:3879.38:3879.38 -IOPATH A[8] O[11] 3994.45:3994.45:3994.45 4193.45:4193.45:4193.45 -IOPATH A[8] O[12] 4258.56:4258.56:4258.56 4411.89:4411.89:4411.89 -IOPATH A[8] O[13] 4431.85:4431.85:4431.85 4619.81:4619.81:4619.81 -IOPATH A[8] O[14] 4805.1:4805.1:4805.1 4983.93:4983.93:4983.93 -IOPATH A[8] O[15] 4716.82:4716.82:4716.82 4910.18:4910.18:4910.18 -IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34 -IOPATH A[8] O[16] 5636:5636:5636 5887.62:5887.62:5887.62 -IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42 -IOPATH A[8] O[17] 5736.79:5736.79:5736.79 5960.65:5960.65:5960.65 -IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77 -IOPATH A[8] O[18] 5866.38:5866.38:5866.38 6116.83:6116.83:6116.83 -IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42 -IOPATH A[8] O[19] 6036.91:6036.91:6036.91 6232.4:6232.4:6232.4 -IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82 -IOPATH A[8] O[20] 5922.48:5922.48:5922.48 6093.65:6093.65:6093.65 -IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46 -IOPATH A[8] O[21] 5858.58:5858.58:5858.58 6024.1:6024.1:6024.1 -IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37 -IOPATH A[8] O[22] 6024.37:6024.37:6024.37 6230.31:6230.31:6230.31 -IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29 -IOPATH A[8] O[23] 6084.48:6084.48:6084.48 6261.39:6261.39:6261.39 -IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18 -IOPATH A[8] O[24] 6282.89:6282.89:6282.89 6440.34:6440.34:6440.34 -IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16 -IOPATH A[8] O[25] 6394.12:6394.12:6394.12 6576.53:6576.53:6576.53 -IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54 -IOPATH A[8] O[26] 6556.63:6556.63:6556.63 6758.19:6758.19:6758.19 -IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63 -IOPATH A[8] O[27] 6549.74:6549.74:6549.74 6714.21:6714.21:6714.21 -IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68 -IOPATH A[8] O[28] 6741.28:6741.28:6741.28 6897.89:6897.89:6897.89 -IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6 -IOPATH A[8] O[29] 6826.96:6826.96:6826.96 6988.67:6988.67:6988.67 -IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84 -IOPATH A[8] O[30] 6894.09:6894.09:6894.09 7026.07:7026.07:7026.07 -IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55 -IOPATH A[8] O[31] 6944.15:6944.15:6944.15 7034.33:7034.33:7034.33 -IOPATH A[8] SIGNEXTOUT 5779.22:5779.22:5779.22 5646.55:5646.55:5646.55 -IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 -IOPATH A[9] ACCUMCO 6629.88:6629.88:6629.88 6796.67:6796.67:6796.67 -IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 -IOPATH A[9] CO 7202.28:7202.28:7202.28 7342.66:7342.66:7342.66 -IOPATH A[9] O[9] 3372.23:3372.23:3372.23 3598.09:3598.09:3598.09 -IOPATH A[9] O[10] 3892.57:3892.57:3892.57 4098.69:4098.69:4098.69 -IOPATH A[9] O[11] 4213.75:4213.75:4213.75 4412.76:4412.76:4412.76 -IOPATH A[9] O[12] 4477.86:4477.86:4477.86 4631.19:4631.19:4631.19 -IOPATH A[9] O[13] 4632.59:4632.59:4632.59 4820.55:4820.55:4820.55 -IOPATH A[9] O[14] 5005.84:5005.84:5005.84 5184.67:5184.67:5184.67 -IOPATH A[9] O[15] 4917.55:4917.55:4917.55 5110.92:5110.92:5110.92 -IOPATH A[9] O[16] 5836.74:5836.74:5836.74 6088.36:6088.36:6088.36 -IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56 -IOPATH A[9] O[17] 5937.53:5937.53:5937.53 6161.39:6161.39:6161.39 -IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45 -IOPATH A[9] O[18] 6067.11:6067.11:6067.11 6317.57:6317.57:6317.57 -IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39 -IOPATH A[9] O[19] 6237.65:6237.65:6237.65 6433.14:6433.14:6433.14 -IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56 -IOPATH A[9] O[20] 6123.21:6123.21:6123.21 6294.39:6294.39:6294.39 -IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37 -IOPATH A[9] O[21] 6059.32:6059.32:6059.32 6224.83:6224.83:6224.83 -IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28 -IOPATH A[9] O[22] 6225.11:6225.11:6225.11 6431.04:6431.04:6431.04 -IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2 -IOPATH A[9] O[23] 6285.22:6285.22:6285.22 6462.13:6462.13:6462.13 -IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51 -IOPATH A[9] O[24] 6539.27:6539.27:6539.27 6696.72:6696.72:6696.72 -IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49 -IOPATH A[9] O[25] 6650.51:6650.51:6650.51 6832.92:6832.92:6832.92 -IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88 -IOPATH A[9] O[26] 6813.02:6813.02:6813.02 7014.58:7014.58:7014.58 -IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97 -IOPATH A[9] O[27] 6806.12:6806.12:6806.12 6970.59:6970.59:6970.59 -IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02 -IOPATH A[9] O[28] 6997.67:6997.67:6997.67 7154.27:7154.27:7154.27 -IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94 -IOPATH A[9] O[29] 7083.34:7083.34:7083.34 7245.05:7245.05:7245.05 -IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18 -IOPATH A[9] O[30] 7150.48:7150.48:7150.48 7282.46:7282.46:7282.46 -IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89 -IOPATH A[9] O[31] 7200.53:7200.53:7200.53 7290.71:7290.71:7290.71 -IOPATH A[9] SIGNEXTOUT 6035.6:6035.6:6035.6 5902.93:5902.93:5902.93 -IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 -IOPATH A[10] ACCUMCO 6563.18:6563.18:6563.18 6729.97:6729.97:6729.97 -IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 -IOPATH A[10] CO 7135.58:7135.58:7135.58 7275.96:7275.96:7275.96 -IOPATH A[10] O[10] 3793.42:3793.42:3793.42 3999.54:3999.54:3999.54 -IOPATH A[10] O[11] 4114.6:4114.6:4114.6 4313.6:4313.6:4313.6 -IOPATH A[10] O[12] 4378.71:4378.71:4378.71 4532.04:4532.04:4532.04 -IOPATH A[10] O[13] 4533.43:4533.43:4533.43 4721.4:4721.4:4721.4 -IOPATH A[10] O[14] 4906.68:4906.68:4906.68 5085.51:5085.51:5085.51 -IOPATH A[10] O[15] 4818.4:4818.4:4818.4 5011.76:5011.76:5011.76 -IOPATH A[10] O[16] 5737.58:5737.58:5737.58 5989.2:5989.2:5989.2 -IOPATH A[10] O[17] 5838.37:5838.37:5838.37 6062.24:6062.24:6062.24 -IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54 -IOPATH A[10] O[18] 5967.96:5967.96:5967.96 6218.42:6218.42:6218.42 -IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27 -IOPATH A[10] O[19] 6138.49:6138.49:6138.49 6333.98:6333.98:6333.98 -IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08 -IOPATH A[10] O[20] 6024.06:6024.06:6024.06 6195.24:6195.24:6195.24 -IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74 -IOPATH A[10] O[21] 5960.16:5960.16:5960.16 6125.68:6125.68:6125.68 -IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65 -IOPATH A[10] O[22] 6127.8:6127.8:6127.8 6333.74:6333.74:6333.74 -IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57 -IOPATH A[10] O[23] 6187.91:6187.91:6187.91 6364.82:6364.82:6364.82 -IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72 -IOPATH A[10] O[24] 6472.57:6472.57:6472.57 6630.02:6630.02:6630.02 -IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7 -IOPATH A[10] O[25] 6583.81:6583.81:6583.81 6766.22:6766.22:6766.22 -IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08 -IOPATH A[10] O[26] 6746.32:6746.32:6746.32 6947.88:6947.88:6947.88 -IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17 -IOPATH A[10] O[27] 6739.42:6739.42:6739.42 6903.89:6903.89:6903.89 -IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22 -IOPATH A[10] O[28] 6930.97:6930.97:6930.97 7087.57:7087.57:7087.57 -IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14 -IOPATH A[10] O[29] 7016.64:7016.64:7016.64 7178.35:7178.35:7178.35 -IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38 -IOPATH A[10] O[30] 7083.78:7083.78:7083.78 7215.76:7215.76:7215.76 -IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09 -IOPATH A[10] O[31] 7133.83:7133.83:7133.83 7224.01:7224.01:7224.01 -IOPATH A[10] SIGNEXTOUT 5968.9:5968.9:5968.9 5836.23:5836.23:5836.23 -IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 -IOPATH A[11] ACCUMCO 6547.02:6547.02:6547.02 6713.81:6713.81:6713.81 -IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 -IOPATH A[11] CO 7119.42:7119.42:7119.42 7259.8:7259.8:7259.8 -IOPATH A[11] O[11] 3890.63:3890.63:3890.63 4089.63:4089.63:4089.63 -IOPATH A[11] O[12] 4154.74:4154.74:4154.74 4328.68:4328.68:4328.68 -IOPATH A[11] O[13] 4430.55:4430.55:4430.55 4618.52:4618.52:4618.52 -IOPATH A[11] O[14] 4803.8:4803.8:4803.8 4982.63:4982.63:4982.63 -IOPATH A[11] O[15] 4715.52:4715.52:4715.52 4908.89:4908.89:4908.89 -IOPATH A[11] O[16] 5626.61:5626.61:5626.61 5878.23:5878.23:5878.23 -IOPATH A[11] O[17] 5727.4:5727.4:5727.4 5951.26:5951.26:5951.26 -IOPATH A[11] O[18] 5856.99:5856.99:5856.99 6107.45:6107.45:6107.45 -IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42 -IOPATH A[11] O[19] 6027.52:6027.52:6027.52 6223.01:6223.01:6223.01 -IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78 -IOPATH A[11] O[20] 5977.27:5977.27:5977.27 6148.44:6148.44:6148.44 -IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36 -IOPATH A[11] O[21] 5913.36:5913.36:5913.36 6086.59:6086.59:6086.59 -IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27 -IOPATH A[11] O[22] 6111.64:6111.64:6111.64 6317.58:6317.58:6317.58 -IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19 -IOPATH A[11] O[23] 6171.74:6171.74:6171.74 6348.66:6348.66:6348.66 -IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15 -IOPATH A[11] O[24] 6456.41:6456.41:6456.41 6613.86:6613.86:6613.86 -IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13 -IOPATH A[11] O[25] 6567.65:6567.65:6567.65 6750.05:6750.05:6750.05 -IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52 -IOPATH A[11] O[26] 6730.15:6730.15:6730.15 6931.72:6931.72:6931.72 -IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61 -IOPATH A[11] O[27] 6723.26:6723.26:6723.26 6887.73:6887.73:6887.73 -IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66 -IOPATH A[11] O[28] 6914.8:6914.8:6914.8 7071.4:7071.4:7071.4 -IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58 -IOPATH A[11] O[29] 7000.48:7000.48:7000.48 7162.19:7162.19:7162.19 -IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82 -IOPATH A[11] O[30] 7067.62:7067.62:7067.62 7199.6:7199.6:7199.6 -IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53 -IOPATH A[11] O[31] 7117.67:7117.67:7117.67 7207.85:7207.85:7207.85 -IOPATH A[11] SIGNEXTOUT 5952.74:5952.74:5952.74 5820.07:5820.07:5820.07 -IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 -IOPATH A[12] ACCUMCO 6763.39:6763.39:6763.39 6930.18:6930.18:6930.18 -IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 -IOPATH A[12] CO 7335.79:7335.79:7335.79 7476.17:7476.17:7476.17 -IOPATH A[12] O[12] 4254.03:4254.03:4254.03 4433.68:4433.68:4433.68 -IOPATH A[12] O[13] 4573.63:4573.63:4573.63 4761.6:4761.6:4761.6 -IOPATH A[12] O[14] 4946.88:4946.88:4946.88 5125.71:5125.71:5125.71 -IOPATH A[12] O[15] 4858.6:4858.6:4858.6 5051.96:5051.96:5051.96 -IOPATH A[12] O[16] 5769.69:5769.69:5769.69 6021.31:6021.31:6021.31 -IOPATH A[12] O[17] 5870.48:5870.48:5870.48 6094.34:6094.34:6094.34 -IOPATH A[12] O[18] 6000.07:6000.07:6000.07 6250.52:6250.52:6250.52 -IOPATH A[12] O[19] 6170.6:6170.6:6170.6 6366.09:6366.09:6366.09 -IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8 -IOPATH A[12] O[20] 6193.65:6193.65:6193.65 6364.81:6364.81:6364.81 -IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38 -IOPATH A[12] O[21] 6129.74:6129.74:6129.74 6302.96:6302.96:6302.96 -IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29 -IOPATH A[12] O[22] 6328.02:6328.02:6328.02 6533.95:6533.95:6533.95 -IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21 -IOPATH A[12] O[23] 6388.12:6388.12:6388.12 6565.03:6565.03:6565.03 -IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49 -IOPATH A[12] O[24] 6672.79:6672.79:6672.79 6830.23:6830.23:6830.23 -IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47 -IOPATH A[12] O[25] 6784.02:6784.02:6784.02 6966.43:6966.43:6966.43 -IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85 -IOPATH A[12] O[26] 6946.53:6946.53:6946.53 7148.09:7148.09:7148.09 -IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94 -IOPATH A[12] O[27] 6939.63:6939.63:6939.63 7104.11:7104.11:7104.11 -IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99 -IOPATH A[12] O[28] 7131.18:7131.18:7131.18 7287.78:7287.78:7287.78 -IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91 -IOPATH A[12] O[29] 7216.86:7216.86:7216.86 7378.56:7378.56:7378.56 -IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15 -IOPATH A[12] O[30] 7283.99:7283.99:7283.99 7415.97:7415.97:7415.97 -IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86 -IOPATH A[12] O[31] 7334.04:7334.04:7334.04 7424.23:7424.23:7424.23 -IOPATH A[12] SIGNEXTOUT 6169.11:6169.11:6169.11 6036.45:6036.45:6036.45 -IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 -IOPATH A[13] ACCUMCO 6796.71:6796.71:6796.71 6963.5:6963.5:6963.5 -IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 -IOPATH A[13] CO 7369.11:7369.11:7369.11 7509.49:7509.49:7509.49 -IOPATH A[13] O[13] 4214.84:4214.84:4214.84 4402.81:4402.81:4402.81 -IOPATH A[13] O[14] 4588.09:4588.09:4588.09 4766.92:4766.92:4766.92 -IOPATH A[13] O[15] 4550.74:4550.74:4550.74 4769.19:4769.19:4769.19 -IOPATH A[13] O[16] 5603.72:5603.72:5603.72 5883.06:5883.06:5883.06 -IOPATH A[13] O[17] 5756.84:5756.84:5756.84 5980.7:5980.7:5980.7 -IOPATH A[13] O[18] 5886.42:5886.42:5886.42 6136.88:6136.88:6136.88 -IOPATH A[13] O[19] 6056.95:6056.95:6056.95 6252.45:6252.45:6252.45 -IOPATH A[13] O[20] 6226.97:6226.97:6226.97 6398.13:6398.13:6398.13 -IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28 -IOPATH A[13] O[21] 6163.06:6163.06:6163.06 6336.28:6336.28:6336.28 -IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56 -IOPATH A[13] O[22] 6361.33:6361.33:6361.33 6567.27:6567.27:6567.27 -IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18 -IOPATH A[13] O[23] 6421.44:6421.44:6421.44 6598.35:6598.35:6598.35 -IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28 -IOPATH A[13] O[24] 6706.1:6706.1:6706.1 6863.55:6863.55:6863.55 -IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26 -IOPATH A[13] O[25] 6817.34:6817.34:6817.34 6999.75:6999.75:6999.75 -IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64 -IOPATH A[13] O[26] 6979.85:6979.85:6979.85 7181.41:7181.41:7181.41 -IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73 -IOPATH A[13] O[27] 6972.95:6972.95:6972.95 7137.42:7137.42:7137.42 -IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79 -IOPATH A[13] O[28] 7164.5:7164.5:7164.5 7321.1:7321.1:7321.1 -IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7 -IOPATH A[13] O[29] 7250.18:7250.18:7250.18 7411.88:7411.88:7411.88 -IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94 -IOPATH A[13] O[30] 7317.31:7317.31:7317.31 7449.29:7449.29:7449.29 -IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65 -IOPATH A[13] O[31] 7367.36:7367.36:7367.36 7457.54:7457.54:7457.54 -IOPATH A[13] SIGNEXTOUT 6202.43:6202.43:6202.43 6069.76:6069.76:6069.76 -IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 -IOPATH A[14] ACCUMCO 6828.7:6828.7:6828.7 6995.49:6995.49:6995.49 -IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 -IOPATH A[14] CO 7401.1:7401.1:7401.1 7541.48:7541.48:7541.48 -IOPATH A[14] O[14] 4601.11:4601.11:4601.11 4806.43:4806.43:4806.43 -IOPATH A[14] O[15] 4582.73:4582.73:4582.73 4801.18:4801.18:4801.18 -IOPATH A[14] O[16] 5635.71:5635.71:5635.71 5915.06:5915.06:5915.06 -IOPATH A[14] O[17] 5788.83:5788.83:5788.83 6012.69:6012.69:6012.69 -IOPATH A[14] O[18] 5918.42:5918.42:5918.42 6168.87:6168.87:6168.87 -IOPATH A[14] O[19] 6088.95:6088.95:6088.95 6284.44:6284.44:6284.44 -IOPATH A[14] O[20] 6258.96:6258.96:6258.96 6430.13:6430.13:6430.13 -IOPATH A[14] O[21] 6195.05:6195.05:6195.05 6368.27:6368.27:6368.27 -IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4 -IOPATH A[14] O[22] 6393.33:6393.33:6393.33 6599.26:6599.26:6599.26 -IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9 -IOPATH A[14] O[23] 6453.43:6453.43:6453.43 6630.34:6630.34:6630.34 -IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462 -IOPATH A[14] O[24] 6738.1:6738.1:6738.1 6895.54:6895.54:6895.54 -IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98 -IOPATH A[14] O[25] 6849.33:6849.33:6849.33 7031.74:7031.74:7031.74 -IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36 -IOPATH A[14] O[26] 7011.84:7011.84:7011.84 7213.4:7213.4:7213.4 -IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45 -IOPATH A[14] O[27] 7004.95:7004.95:7004.95 7169.42:7169.42:7169.42 -IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51 -IOPATH A[14] O[28] 7196.49:7196.49:7196.49 7353.09:7353.09:7353.09 -IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42 -IOPATH A[14] O[29] 7282.17:7282.17:7282.17 7443.87:7443.87:7443.87 -IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66 -IOPATH A[14] O[30] 7349.3:7349.3:7349.3 7481.28:7481.28:7481.28 -IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37 -IOPATH A[14] O[31] 7399.36:7399.36:7399.36 7489.54:7489.54:7489.54 -IOPATH A[14] SIGNEXTOUT 6234.42:6234.42:6234.42 6101.76:6101.76:6101.76 -IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 -IOPATH A[15] ACCUMCO 7319.87:7319.87:7319.87 7486.66:7486.66:7486.66 -IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 -IOPATH A[15] CO 7892.27:7892.27:7892.27 8032.64:8032.64:8032.64 -IOPATH A[15] O[15] 5073.89:5073.89:5073.89 5292.34:5292.34:5292.34 -IOPATH A[15] O[16] 6126.87:6126.87:6126.87 6406.22:6406.22:6406.22 -IOPATH A[15] O[17] 6279.99:6279.99:6279.99 6503.85:6503.85:6503.85 -IOPATH A[15] O[18] 6409.58:6409.58:6409.58 6660.04:6660.04:6660.04 -IOPATH A[15] O[19] 6580.11:6580.11:6580.11 6775.6:6775.6:6775.6 -IOPATH A[15] O[20] 6750.12:6750.12:6750.12 6921.29:6921.29:6921.29 -IOPATH A[15] O[21] 6686.21:6686.21:6686.21 6859.44:6859.44:6859.44 -IOPATH A[15] O[22] 6884.49:6884.49:6884.49 7090.42:7090.42:7090.42 -IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12 -IOPATH A[15] O[23] 6944.59:6944.59:6944.59 7121.51:7121.51:7121.51 -IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22 -IOPATH A[15] O[24] 7229.26:7229.26:7229.26 7386.71:7386.71:7386.71 -IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2 -IOPATH A[15] O[25] 7340.49:7340.49:7340.49 7522.9:7522.9:7522.9 -IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58 -IOPATH A[15] O[26] 7503:7503:7503 7704.56:7704.56:7704.56 -IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67 -IOPATH A[15] O[27] 7496.11:7496.11:7496.11 7660.58:7660.58:7660.58 -IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72 -IOPATH A[15] O[28] 7687.65:7687.65:7687.65 7844.26:7844.26:7844.26 -IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64 -IOPATH A[15] O[29] 7773.33:7773.33:7773.33 7935.04:7935.04:7935.04 -IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88 -IOPATH A[15] O[30] 7840.46:7840.46:7840.46 7972.44:7972.44:7972.44 -IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59 -IOPATH A[15] O[31] 7890.52:7890.52:7890.52 7980.7:7980.7:7980.7 -IOPATH A[15] SIGNEXTOUT 6725.59:6725.59:6725.59 6592.92:6592.92:6592.92 -IOPATH ADDSUBBOT ACCUMCO 2246.1:2246.1:2246.1 2484.69:2484.69:2484.69 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2818.51:2818.51:2818.51 3030.67:3030.67:3030.67 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBBOT O[0] 1484.76:1484.76:1484.76 1615.36:1615.36:1615.36 -IOPATH ADDSUBBOT O[1] 1584.71:1584.71:1584.71 1689.28:1689.28:1689.28 -IOPATH ADDSUBBOT O[2] 1707.36:1707.36:1707.36 1832.68:1832.68:1832.68 -IOPATH ADDSUBBOT O[3] 1803.76:1803.76:1803.76 1934.95:1934.95:1934.95 -IOPATH ADDSUBBOT O[4] 2081.56:2081.56:2081.56 2211.7:2211.7:2211.7 -IOPATH ADDSUBBOT O[5] 2209.35:2209.35:2209.35 2356.06:2356.06:2356.06 -IOPATH ADDSUBBOT O[6] 2342.23:2342.23:2342.23 2504.63:2504.63:2504.63 -IOPATH ADDSUBBOT O[7] 2408.65:2408.65:2408.65 2556.98:2556.98:2556.98 -IOPATH ADDSUBBOT O[8] 2398.25:2398.25:2398.25 2578.58:2578.58:2578.58 -IOPATH ADDSUBBOT O[9] 2527.94:2527.94:2527.94 2728.03:2728.03:2728.03 -IOPATH ADDSUBBOT O[10] 2594.92:2594.92:2594.92 2775.3:2775.3:2775.3 -IOPATH ADDSUBBOT O[11] 2820.44:2820.44:2820.44 2994.81:2994.81:2994.81 -IOPATH ADDSUBBOT O[12] 2615.24:2615.24:2615.24 2768.69:2768.69:2768.69 -IOPATH ADDSUBBOT O[13] 2727.37:2727.37:2727.37 2888.31:2888.31:2888.31 -IOPATH ADDSUBBOT O[14] 3049.75:3049.75:3049.75 3228.59:3228.59:3228.59 -IOPATH ADDSUBBOT O[15] 2961.48:2961.48:2961.48 3154.84:3154.84:3154.84 -IOPATH ADDSUBBOT O[16] 3056.99:3056.99:3056.99 3308.61:3308.61:3308.61 -IOPATH ADDSUBBOT O[17] 3157.79:3157.79:3157.79 3381.65:3381.65:3381.65 -IOPATH ADDSUBBOT O[18] 3287.37:3287.37:3287.37 3537.83:3537.83:3537.83 -IOPATH ADDSUBBOT O[19] 3457.9:3457.9:3457.9 3653.39:3653.39:3653.39 -IOPATH ADDSUBBOT O[20] 3343.47:3343.47:3343.47 3514.64:3514.64:3514.64 -IOPATH ADDSUBBOT O[21] 3279.57:3279.57:3279.57 3445.09:3445.09:3445.09 -IOPATH ADDSUBBOT O[22] 3445.36:3445.36:3445.36 3651.3:3651.3:3651.3 -IOPATH ADDSUBBOT O[23] 3505.47:3505.47:3505.47 3682.39:3682.39:3682.39 -IOPATH ADDSUBBOT O[24] 3422.72:3422.72:3422.72 3580.17:3580.17:3580.17 -IOPATH ADDSUBBOT O[25] 3533.96:3533.96:3533.96 3716.37:3716.37:3716.37 -IOPATH ADDSUBBOT O[26] 3696.47:3696.47:3696.47 3898.03:3898.03:3898.03 -IOPATH ADDSUBBOT O[27] 3689.58:3689.58:3689.58 3854.05:3854.05:3854.05 -IOPATH ADDSUBBOT O[28] 3617.66:3617.66:3617.66 3774.27:3774.27:3774.27 -IOPATH ADDSUBBOT O[29] 3703.35:3703.35:3703.35 3865.05:3865.05:3865.05 -IOPATH ADDSUBBOT O[30] 3770.48:3770.48:3770.48 3902.46:3902.46:3902.46 -IOPATH ADDSUBBOT O[31] 3820.54:3820.54:3820.54 3910.72:3910.72:3910.72 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP ACCUMCO 1604.11:1604.11:1604.11 1890.82:1890.82:1890.82 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH ADDSUBTOP O[16] 1841.02:1841.02:1841.02 2087:2087:2087 -IOPATH ADDSUBTOP O[17] 1967.8:1967.8:1967.8 2184.61:2184.61:2184.61 -IOPATH ADDSUBTOP O[18] 2090.34:2090.34:2090.34 2340.79:2340.79:2340.79 -IOPATH ADDSUBTOP O[19] 2260.87:2260.87:2260.87 2456.36:2456.36:2456.36 -IOPATH ADDSUBTOP O[20] 2383.64:2383.64:2383.64 2554.81:2554.81:2554.81 -IOPATH ADDSUBTOP O[21] 2319.74:2319.74:2319.74 2485.26:2485.26:2485.26 -IOPATH ADDSUBTOP O[22] 2485.53:2485.53:2485.53 2691.47:2691.47:2691.47 -IOPATH ADDSUBTOP O[23] 2545.64:2545.64:2545.64 2722.55:2722.55:2722.55 -IOPATH ADDSUBTOP O[24] 2462.85:2462.85:2462.85 2620.3:2620.3:2620.3 -IOPATH ADDSUBTOP O[25] 2574.09:2574.09:2574.09 2756.5:2756.5:2756.5 -IOPATH ADDSUBTOP O[26] 2736.6:2736.6:2736.6 2938.16:2938.16:2938.16 -IOPATH ADDSUBTOP O[27] 2729.71:2729.71:2729.71 2894.18:2894.18:2894.18 -IOPATH ADDSUBTOP O[28] 2657.79:2657.79:2657.79 2814.4:2814.4:2814.4 -IOPATH ADDSUBTOP O[29] 2743.48:2743.48:2743.48 2905.18:2905.18:2905.18 -IOPATH ADDSUBTOP O[30] 2810.61:2810.61:2810.61 2942.59:2942.59:2942.59 -IOPATH ADDSUBTOP O[31] 2860.67:2860.67:2860.67 2950.85:2950.85:2950.85 -IOPATH B[0] ACCUMCO 7272.54:7272.54:7272.54 7439.33:7439.33:7439.33 -IOPATH B[0] CO 7844.95:7844.95:7844.95 7985.32:7985.32:7985.32 -IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45 -IOPATH B[0] O[0] 2616.54:2616.54:2616.54 2736.51:2736.51:2736.51 -IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12 -IOPATH B[0] O[1] 2750.71:2750.71:2750.71 2844.44:2844.44:2844.44 -IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75 -IOPATH B[0] O[2] 3045.66:3045.66:3045.66 3195.08:3195.08:3195.08 -IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59 -IOPATH B[0] O[3] 3245.46:3245.46:3245.46 3387.53:3387.53:3387.53 -IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94 -IOPATH B[0] O[4] 3642.92:3642.92:3642.92 3773.05:3773.05:3773.05 -IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09 -IOPATH B[0] O[5] 3818.11:3818.11:3818.11 3964.59:3964.59:3964.59 -IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9 -IOPATH B[0] O[6] 3992.41:3992.41:3992.41 4139.32:4139.32:4139.32 -IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87 -IOPATH B[0] O[7] 4085.65:4085.65:4085.65 4218.33:4218.33:4218.33 -IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46 -IOPATH B[0] O[8] 5400.88:5400.88:5400.88 5606.63:5606.63:5606.63 -IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2 -IOPATH B[0] O[9] 5582.37:5582.37:5582.37 5808.24:5808.24:5808.24 -IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66 -IOPATH B[0] O[10] 5819.9:5819.9:5819.9 6026.02:6026.02:6026.02 -IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31 -IOPATH B[0] O[11] 6095.8:6095.8:6095.8 6287.33:6287.33:6287.33 -IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89 -IOPATH B[0] O[12] 6352.43:6352.43:6352.43 6505.76:6505.76:6505.76 -IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23 -IOPATH B[0] O[13] 6495.79:6495.79:6495.79 6683.75:6683.75:6683.75 -IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91 -IOPATH B[0] O[14] 6869.04:6869.04:6869.04 7047.87:7047.87:7047.87 -IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83 -IOPATH B[0] O[15] 6780.76:6780.76:6780.76 6974.12:6974.12:6974.12 -IOPATH B[0] O[16] 7699.94:7699.94:7699.94 7951.56:7951.56:7951.56 -IOPATH B[0] O[17] 7800.73:7800.73:7800.73 8024.59:8024.59:8024.59 -IOPATH B[0] O[18] 7930.32:7930.32:7930.32 8180.77:8180.77:8180.77 -IOPATH B[0] O[19] 8100.85:8100.85:8100.85 8296.34:8296.34:8296.34 -IOPATH B[0] O[20] 7986.42:7986.42:7986.42 8157.59:8157.59:8157.59 -IOPATH B[0] O[21] 7922.52:7922.52:7922.52 8088.04:8088.04:8088.04 -IOPATH B[0] O[22] 8088.31:8088.31:8088.31 8294.25:8294.25:8294.25 -IOPATH B[0] O[23] 8148.42:8148.42:8148.42 8325.33:8325.33:8325.33 -IOPATH B[0] O[24] 8065.66:8065.66:8065.66 8223.12:8223.12:8223.12 -IOPATH B[0] O[25] 8176.91:8176.91:8176.91 8359.32:8359.32:8359.32 -IOPATH B[0] O[26] 8339.42:8339.42:8339.42 8540.98:8540.98:8540.98 -IOPATH B[0] O[27] 8332.52:8332.52:8332.52 8496.99:8496.99:8496.99 -IOPATH B[0] O[28] 8260.61:8260.61:8260.61 8417.22:8417.22:8417.22 -IOPATH B[0] O[29] 8346.3:8346.3:8346.3 8508:8508:8508 -IOPATH B[0] O[30] 8413.43:8413.43:8413.43 8545.41:8545.41:8545.41 -IOPATH B[0] O[31] 8463.48:8463.48:8463.48 8553.67:8553.67:8553.67 -IOPATH B[0] SIGNEXTOUT 6678.26:6678.26:6678.26 6545.6:6545.6:6545.6 -IOPATH B[1] ACCUMCO 7532.15:7532.15:7532.15 7698.94:7698.94:7698.94 -IOPATH B[1] CO 8104.56:8104.56:8104.56 8244.93:8244.93:8244.93 -IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24 -IOPATH B[1] O[0] 2688.22:2688.22:2688.22 2841.78:2841.78:2841.78 -IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64 -IOPATH B[1] O[1] 2848.41:2848.41:2848.41 2949.02:2949.02:2949.02 -IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37 -IOPATH B[1] O[2] 3330.57:3330.57:3330.57 3479.98:3479.98:3479.98 -IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21 -IOPATH B[1] O[3] 3530.36:3530.36:3530.36 3672.44:3672.44:3672.44 -IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08 -IOPATH B[1] O[4] 3927.82:3927.82:3927.82 4057.95:4057.95:4057.95 -IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24 -IOPATH B[1] O[5] 4126.2:4126.2:4126.2 4272.68:4272.68:4272.68 -IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05 -IOPATH B[1] O[6] 4300.51:4300.51:4300.51 4447.42:4447.42:4447.42 -IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02 -IOPATH B[1] O[7] 4393.75:4393.75:4393.75 4526.43:4526.43:4526.43 -IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12 -IOPATH B[1] O[8] 5660.49:5660.49:5660.49 5866.24:5866.24:5866.24 -IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86 -IOPATH B[1] O[9] 5841.98:5841.98:5841.98 6067.85:6067.85:6067.85 -IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32 -IOPATH B[1] O[10] 6079.51:6079.51:6079.51 6285.63:6285.63:6285.63 -IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96 -IOPATH B[1] O[11] 6355.41:6355.41:6355.41 6546.94:6546.94:6546.94 -IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55 -IOPATH B[1] O[12] 6612.04:6612.04:6612.04 6765.37:6765.37:6765.37 -IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89 -IOPATH B[1] O[13] 6755.4:6755.4:6755.4 6943.36:6943.36:6943.36 -IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56 -IOPATH B[1] O[14] 7128.65:7128.65:7128.65 7307.48:7307.48:7307.48 -IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49 -IOPATH B[1] O[15] 7040.37:7040.37:7040.37 7233.73:7233.73:7233.73 -IOPATH B[1] O[16] 7959.55:7959.55:7959.55 8211.17:8211.17:8211.17 -IOPATH B[1] O[17] 8060.34:8060.34:8060.34 8284.2:8284.2:8284.2 -IOPATH B[1] O[18] 8189.93:8189.93:8189.93 8440.38:8440.38:8440.38 -IOPATH B[1] O[19] 8360.46:8360.46:8360.46 8555.95:8555.95:8555.95 -IOPATH B[1] O[20] 8246.03:8246.03:8246.03 8417.2:8417.2:8417.2 -IOPATH B[1] O[21] 8182.13:8182.13:8182.13 8347.65:8347.65:8347.65 -IOPATH B[1] O[22] 8347.92:8347.92:8347.92 8553.86:8553.86:8553.86 -IOPATH B[1] O[23] 8408.03:8408.03:8408.03 8584.94:8584.94:8584.94 -IOPATH B[1] O[24] 8325.28:8325.28:8325.28 8482.73:8482.73:8482.73 -IOPATH B[1] O[25] 8436.52:8436.52:8436.52 8618.93:8618.93:8618.93 -IOPATH B[1] O[26] 8599.03:8599.03:8599.03 8800.59:8800.59:8800.59 -IOPATH B[1] O[27] 8592.14:8592.14:8592.14 8756.61:8756.61:8756.61 -IOPATH B[1] O[28] 8520.22:8520.22:8520.22 8676.83:8676.83:8676.83 -IOPATH B[1] O[29] 8605.91:8605.91:8605.91 8767.61:8767.61:8767.61 -IOPATH B[1] O[30] 8673.04:8673.04:8673.04 8805.02:8805.02:8805.02 -IOPATH B[1] O[31] 8723.1:8723.1:8723.1 8813.28:8813.28:8813.28 -IOPATH B[1] SIGNEXTOUT 6937.88:6937.88:6937.88 6805.21:6805.21:6805.21 -IOPATH B[2] ACCUMCO 7393.88:7393.88:7393.88 7560.67:7560.67:7560.67 -IOPATH B[2] CO 7966.28:7966.28:7966.28 8106.66:8106.66:8106.66 -IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42 -IOPATH B[2] O[2] 3094.21:3094.21:3094.21 3243.62:3243.62:3243.62 -IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24 -IOPATH B[2] O[3] 3293.98:3293.98:3293.98 3436.06:3436.06:3436.06 -IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7 -IOPATH B[2] O[4] 3691.44:3691.44:3691.44 3821.57:3821.57:3821.57 -IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86 -IOPATH B[2] O[5] 3895.22:3895.22:3895.22 4041.7:4041.7:4041.7 -IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67 -IOPATH B[2] O[6] 4069.52:4069.52:4069.52 4216.44:4216.44:4216.44 -IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64 -IOPATH B[2] O[7] 4162.76:4162.76:4162.76 4295.45:4295.45:4295.45 -IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45 -IOPATH B[2] O[8] 5522.22:5522.22:5522.22 5727.97:5727.97:5727.97 -IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19 -IOPATH B[2] O[9] 5703.71:5703.71:5703.71 5929.57:5929.57:5929.57 -IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65 -IOPATH B[2] O[10] 5941.24:5941.24:5941.24 6147.35:6147.35:6147.35 -IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29 -IOPATH B[2] O[11] 6217.13:6217.13:6217.13 6408.66:6408.66:6408.66 -IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88 -IOPATH B[2] O[12] 6473.77:6473.77:6473.77 6627.1:6627.1:6627.1 -IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22 -IOPATH B[2] O[13] 6617.13:6617.13:6617.13 6805.09:6805.09:6805.09 -IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89 -IOPATH B[2] O[14] 6990.37:6990.37:6990.37 7169.21:7169.21:7169.21 -IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82 -IOPATH B[2] O[15] 6902.09:6902.09:6902.09 7095.46:7095.46:7095.46 -IOPATH B[2] O[16] 7821.27:7821.27:7821.27 8072.9:8072.9:8072.9 -IOPATH B[2] O[17] 7922.07:7922.07:7922.07 8145.93:8145.93:8145.93 -IOPATH B[2] O[18] 8051.65:8051.65:8051.65 8302.11:8302.11:8302.11 -IOPATH B[2] O[19] 8222.18:8222.18:8222.18 8417.68:8417.68:8417.68 -IOPATH B[2] O[20] 8107.75:8107.75:8107.75 8278.93:8278.93:8278.93 -IOPATH B[2] O[21] 8043.85:8043.85:8043.85 8209.37:8209.37:8209.37 -IOPATH B[2] O[22] 8209.65:8209.65:8209.65 8415.58:8415.58:8415.58 -IOPATH B[2] O[23] 8269.76:8269.76:8269.76 8446.67:8446.67:8446.67 -IOPATH B[2] O[24] 8187:8187:8187 8344.46:8344.46:8344.46 -IOPATH B[2] O[25] 8298.25:8298.25:8298.25 8480.65:8480.65:8480.65 -IOPATH B[2] O[26] 8460.76:8460.76:8460.76 8662.32:8662.32:8662.32 -IOPATH B[2] O[27] 8453.86:8453.86:8453.86 8618.33:8618.33:8618.33 -IOPATH B[2] O[28] 8381.94:8381.94:8381.94 8538.56:8538.56:8538.56 -IOPATH B[2] O[29] 8467.64:8467.64:8467.64 8629.34:8629.34:8629.34 -IOPATH B[2] O[30] 8534.77:8534.77:8534.77 8666.75:8666.75:8666.75 -IOPATH B[2] O[31] 8584.82:8584.82:8584.82 8675:8675:8675 -IOPATH B[2] SIGNEXTOUT 6799.6:6799.6:6799.6 6666.94:6666.94:6666.94 -IOPATH B[3] ACCUMCO 7475.96:7475.96:7475.96 7642.75:7642.75:7642.75 -IOPATH B[3] CO 8048.36:8048.36:8048.36 8188.74:8188.74:8188.74 -IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63 -IOPATH B[3] O[2] 2888.55:2888.55:2888.55 3037.96:3037.96:3037.96 -IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29 -IOPATH B[3] O[3] 3222.12:3222.12:3222.12 3377.79:3377.79:3377.79 -IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14 -IOPATH B[3] O[4] 3650.13:3650.13:3650.13 3805.42:3805.42:3805.42 -IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29 -IOPATH B[3] O[5] 3977.3:3977.3:3977.3 4123.78:4123.78:4123.78 -IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1 -IOPATH B[3] O[6] 4151.6:4151.6:4151.6 4298.52:4298.52:4298.52 -IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07 -IOPATH B[3] O[7] 4244.84:4244.84:4244.84 4377.53:4377.53:4377.53 -IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89 -IOPATH B[3] O[8] 5604.29:5604.29:5604.29 5810.05:5810.05:5810.05 -IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62 -IOPATH B[3] O[9] 5785.79:5785.79:5785.79 6011.65:6011.65:6011.65 -IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08 -IOPATH B[3] O[10] 6023.32:6023.32:6023.32 6229.43:6229.43:6229.43 -IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73 -IOPATH B[3] O[11] 6299.21:6299.21:6299.21 6490.74:6490.74:6490.74 -IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31 -IOPATH B[3] O[12] 6555.85:6555.85:6555.85 6709.18:6709.18:6709.18 -IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66 -IOPATH B[3] O[13] 6699.21:6699.21:6699.21 6887.17:6887.17:6887.17 -IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33 -IOPATH B[3] O[14] 7072.45:7072.45:7072.45 7251.29:7251.29:7251.29 -IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25 -IOPATH B[3] O[15] 6984.17:6984.17:6984.17 7177.54:7177.54:7177.54 -IOPATH B[3] O[16] 7903.35:7903.35:7903.35 8154.98:8154.98:8154.98 -IOPATH B[3] O[17] 8004.15:8004.15:8004.15 8228.01:8228.01:8228.01 -IOPATH B[3] O[18] 8133.73:8133.73:8133.73 8384.19:8384.19:8384.19 -IOPATH B[3] O[19] 8304.26:8304.26:8304.26 8499.76:8499.76:8499.76 -IOPATH B[3] O[20] 8189.83:8189.83:8189.83 8361.01:8361.01:8361.01 -IOPATH B[3] O[21] 8125.94:8125.94:8125.94 8291.45:8291.45:8291.45 -IOPATH B[3] O[22] 8291.73:8291.73:8291.73 8497.67:8497.67:8497.67 -IOPATH B[3] O[23] 8351.84:8351.84:8351.84 8528.75:8528.75:8528.75 -IOPATH B[3] O[24] 8269.08:8269.08:8269.08 8426.54:8426.54:8426.54 -IOPATH B[3] O[25] 8380.33:8380.33:8380.33 8562.74:8562.74:8562.74 -IOPATH B[3] O[26] 8542.84:8542.84:8542.84 8744.4:8744.4:8744.4 -IOPATH B[3] O[27] 8535.94:8535.94:8535.94 8700.41:8700.41:8700.41 -IOPATH B[3] O[28] 8464.02:8464.02:8464.02 8620.64:8620.64:8620.64 -IOPATH B[3] O[29] 8549.71:8549.71:8549.71 8711.42:8711.42:8711.42 -IOPATH B[3] O[30] 8616.85:8616.85:8616.85 8748.83:8748.83:8748.83 -IOPATH B[3] O[31] 8666.9:8666.9:8666.9 8757.08:8757.08:8757.08 -IOPATH B[3] SIGNEXTOUT 6881.68:6881.68:6881.68 6749.02:6749.02:6749.02 -IOPATH B[4] ACCUMCO 7263.07:7263.07:7263.07 7429.87:7429.87:7429.87 -IOPATH B[4] CO 7835.48:7835.48:7835.48 7975.85:7975.85:7975.85 -IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15 -IOPATH B[4] O[4] 3333.86:3333.86:3333.86 3489.16:3489.16:3489.16 -IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31 -IOPATH B[4] O[5] 3661.04:3661.04:3661.04 3807.52:3807.52:3807.52 -IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65 -IOPATH B[4] O[6] 3839.54:3839.54:3839.54 3986.45:3986.45:3986.45 -IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23 -IOPATH B[4] O[7] 3963.67:3963.67:3963.67 4136.34:4136.34:4136.34 -IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92 -IOPATH B[4] O[8] 5391.41:5391.41:5391.41 5597.16:5597.16:5597.16 -IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65 -IOPATH B[4] O[9] 5572.9:5572.9:5572.9 5798.77:5798.77:5798.77 -IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11 -IOPATH B[4] O[10] 5810.43:5810.43:5810.43 6016.55:6016.55:6016.55 -IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76 -IOPATH B[4] O[11] 6086.33:6086.33:6086.33 6277.86:6277.86:6277.86 -IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34 -IOPATH B[4] O[12] 6342.96:6342.96:6342.96 6496.3:6496.3:6496.3 -IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68 -IOPATH B[4] O[13] 6486.32:6486.32:6486.32 6674.28:6674.28:6674.28 -IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36 -IOPATH B[4] O[14] 6859.57:6859.57:6859.57 7038.4:7038.4:7038.4 -IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28 -IOPATH B[4] O[15] 6771.29:6771.29:6771.29 6964.65:6964.65:6964.65 -IOPATH B[4] O[16] 7690.47:7690.47:7690.47 7942.09:7942.09:7942.09 -IOPATH B[4] O[17] 7791.26:7791.26:7791.26 8015.12:8015.12:8015.12 -IOPATH B[4] O[18] 7920.85:7920.85:7920.85 8171.31:8171.31:8171.31 -IOPATH B[4] O[19] 8091.38:8091.38:8091.38 8286.87:8286.87:8286.87 -IOPATH B[4] O[20] 7976.95:7976.95:7976.95 8148.12:8148.12:8148.12 -IOPATH B[4] O[21] 7913.05:7913.05:7913.05 8078.57:8078.57:8078.57 -IOPATH B[4] O[22] 8078.84:8078.84:8078.84 8284.78:8284.78:8284.78 -IOPATH B[4] O[23] 8138.95:8138.95:8138.95 8315.86:8315.86:8315.86 -IOPATH B[4] O[24] 8056.19:8056.19:8056.19 8213.65:8213.65:8213.65 -IOPATH B[4] O[25] 8167.44:8167.44:8167.44 8349.85:8349.85:8349.85 -IOPATH B[4] O[26] 8329.95:8329.95:8329.95 8531.51:8531.51:8531.51 -IOPATH B[4] O[27] 8323.06:8323.06:8323.06 8487.53:8487.53:8487.53 -IOPATH B[4] O[28] 8251.14:8251.14:8251.14 8407.75:8407.75:8407.75 -IOPATH B[4] O[29] 8336.83:8336.83:8336.83 8498.53:8498.53:8498.53 -IOPATH B[4] O[30] 8403.96:8403.96:8403.96 8535.94:8535.94:8535.94 -IOPATH B[4] O[31] 8454.01:8454.01:8454.01 8544.2:8544.2:8544.2 -IOPATH B[4] SIGNEXTOUT 6668.8:6668.8:6668.8 6536.13:6536.13:6536.13 -IOPATH B[5] ACCUMCO 7438.53:7438.53:7438.53 7605.33:7605.33:7605.33 -IOPATH B[5] CO 8010.94:8010.94:8010.94 8151.31:8151.31:8151.31 -IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4 -IOPATH B[5] O[4] 3292.73:3292.73:3292.73 3448.02:3448.02:3448.02 -IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56 -IOPATH B[5] O[5] 3619.9:3619.9:3619.9 3766.38:3766.38:3766.38 -IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11 -IOPATH B[5] O[6] 3920.29:3920.29:3920.29 4067.21:4067.21:4067.21 -IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88 -IOPATH B[5] O[7] 4043.62:4043.62:4043.62 4216.29:4216.29:4216.29 -IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56 -IOPATH B[5] O[8] 5471.36:5471.36:5471.36 5677.11:5677.11:5677.11 -IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3 -IOPATH B[5] O[9] 5652.85:5652.85:5652.85 5878.72:5878.72:5878.72 -IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76 -IOPATH B[5] O[10] 5890.38:5890.38:5890.38 6096.5:6096.5:6096.5 -IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4 -IOPATH B[5] O[11] 6166.28:6166.28:6166.28 6357.8:6357.8:6357.8 -IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7 -IOPATH B[5] O[12] 6422.91:6422.91:6422.91 6576.24:6576.24:6576.24 -IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03 -IOPATH B[5] O[13] 6566.27:6566.27:6566.27 6754.23:6754.23:6754.23 -IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7 -IOPATH B[5] O[14] 6939.52:6939.52:6939.52 7118.35:7118.35:7118.35 -IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63 -IOPATH B[5] O[15] 6851.24:6851.24:6851.24 7044.6:7044.6:7044.6 -IOPATH B[5] O[16] 7770.42:7770.42:7770.42 8022.04:8022.04:8022.04 -IOPATH B[5] O[17] 7871.21:7871.21:7871.21 8095.07:8095.07:8095.07 -IOPATH B[5] O[18] 8000.8:8000.8:8000.8 8251.25:8251.25:8251.25 -IOPATH B[5] O[19] 8171.33:8171.33:8171.33 8366.82:8366.82:8366.82 -IOPATH B[5] O[20] 8056.9:8056.9:8056.9 8228.07:8228.07:8228.07 -IOPATH B[5] O[21] 7993:7993:7993 8158.52:8158.52:8158.52 -IOPATH B[5] O[22] 8158.79:8158.79:8158.79 8364.73:8364.73:8364.73 -IOPATH B[5] O[23] 8218.9:8218.9:8218.9 8395.81:8395.81:8395.81 -IOPATH B[5] O[24] 8136.14:8136.14:8136.14 8293.6:8293.6:8293.6 -IOPATH B[5] O[25] 8247.39:8247.39:8247.39 8429.8:8429.8:8429.8 -IOPATH B[5] O[26] 8409.9:8409.9:8409.9 8611.46:8611.46:8611.46 -IOPATH B[5] O[27] 8403:8403:8403 8567.47:8567.47:8567.47 -IOPATH B[5] O[28] 8331.09:8331.09:8331.09 8487.7:8487.7:8487.7 -IOPATH B[5] O[29] 8416.78:8416.78:8416.78 8578.48:8578.48:8578.48 -IOPATH B[5] O[30] 8483.91:8483.91:8483.91 8615.89:8615.89:8615.89 -IOPATH B[5] O[31] 8533.96:8533.96:8533.96 8624.15:8624.15:8624.15 -IOPATH B[5] SIGNEXTOUT 6844.26:6844.26:6844.26 6711.59:6711.59:6711.59 -IOPATH B[6] ACCUMCO 7112.9:7112.9:7112.9 7279.69:7279.69:7279.69 -IOPATH B[6] CO 7685.3:7685.3:7685.3 7825.68:7825.68:7825.68 -IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49 -IOPATH B[6] O[6] 3472.01:3472.01:3472.01 3618.92:3618.92:3618.92 -IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46 -IOPATH B[6] O[7] 3565.25:3565.25:3565.25 3697.93:3697.93:3697.93 -IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64 -IOPATH B[6] O[8] 4948.36:4948.36:4948.36 5154.11:5154.11:5154.11 -IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38 -IOPATH B[6] O[9] 5129.85:5129.85:5129.85 5355.71:5355.71:5355.71 -IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32 -IOPATH B[6] O[10] 5373.64:5373.64:5373.64 5579.76:5579.76:5579.76 -IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57 -IOPATH B[6] O[11] 5649.54:5649.54:5649.54 5841.07:5841.07:5841.07 -IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8 -IOPATH B[6] O[12] 5906.17:5906.17:5906.17 6059.51:6059.51:6059.51 -IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14 -IOPATH B[6] O[13] 6049.53:6049.53:6049.53 6237.49:6237.49:6237.49 -IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81 -IOPATH B[6] O[14] 6422.78:6422.78:6422.78 6601.61:6601.61:6601.61 -IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74 -IOPATH B[6] O[15] 6334.5:6334.5:6334.5 6527.86:6527.86:6527.86 -IOPATH B[6] O[16] 7253.68:7253.68:7253.68 7505.3:7505.3:7505.3 -IOPATH B[6] O[17] 7354.47:7354.47:7354.47 7578.33:7578.33:7578.33 -IOPATH B[6] O[18] 7484.06:7484.06:7484.06 7734.51:7734.51:7734.51 -IOPATH B[6] O[19] 7654.59:7654.59:7654.59 7850.08:7850.08:7850.08 -IOPATH B[6] O[20] 7540.16:7540.16:7540.16 7711.33:7711.33:7711.33 -IOPATH B[6] O[21] 7476.26:7476.26:7476.26 7641.78:7641.78:7641.78 -IOPATH B[6] O[22] 7642.05:7642.05:7642.05 7847.99:7847.99:7847.99 -IOPATH B[6] O[23] 7702.16:7702.16:7702.16 7879.07:7879.07:7879.07 -IOPATH B[6] O[24] 7619.41:7619.41:7619.41 7776.86:7776.86:7776.86 -IOPATH B[6] O[25] 7730.65:7730.65:7730.65 7913.06:7913.06:7913.06 -IOPATH B[6] O[26] 7893.16:7893.16:7893.16 8094.72:8094.72:8094.72 -IOPATH B[6] O[27] 7886.27:7886.27:7886.27 8050.74:8050.74:8050.74 -IOPATH B[6] O[28] 7814.35:7814.35:7814.35 7970.96:7970.96:7970.96 -IOPATH B[6] O[29] 7900.04:7900.04:7900.04 8061.74:8061.74:8061.74 -IOPATH B[6] O[30] 7967.17:7967.17:7967.17 8099.15:8099.15:8099.15 -IOPATH B[6] O[31] 8017.23:8017.23:8017.23 8107.41:8107.41:8107.41 -IOPATH B[6] SIGNEXTOUT 6518.62:6518.62:6518.62 6385.95:6385.95:6385.95 -IOPATH B[7] ACCUMCO 7305.83:7305.83:7305.83 7472.62:7472.62:7472.62 -IOPATH B[7] CO 7878.23:7878.23:7878.23 8018.61:8018.61:8018.61 -IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75 -IOPATH B[7] O[6] 3366.26:3366.26:3366.26 3513.18:3513.18:3513.18 -IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72 -IOPATH B[7] O[7] 3459.51:3459.51:3459.51 3592.19:3592.19:3592.19 -IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05 -IOPATH B[7] O[8] 4998.81:4998.81:4998.81 5204.56:5204.56:5204.56 -IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92 -IOPATH B[7] O[9] 5293.39:5293.39:5293.39 5519.25:5519.25:5519.25 -IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85 -IOPATH B[7] O[10] 5566.57:5566.57:5566.57 5772.69:5772.69:5772.69 -IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1 -IOPATH B[7] O[11] 5842.47:5842.47:5842.47 6034:6034:6034 -IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33 -IOPATH B[7] O[12] 6099.1:6099.1:6099.1 6252.43:6252.43:6252.43 -IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67 -IOPATH B[7] O[13] 6242.46:6242.46:6242.46 6430.42:6430.42:6430.42 -IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34 -IOPATH B[7] O[14] 6615.71:6615.71:6615.71 6794.54:6794.54:6794.54 -IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26 -IOPATH B[7] O[15] 6527.43:6527.43:6527.43 6720.79:6720.79:6720.79 -IOPATH B[7] O[16] 7446.61:7446.61:7446.61 7698.23:7698.23:7698.23 -IOPATH B[7] O[17] 7547.4:7547.4:7547.4 7771.26:7771.26:7771.26 -IOPATH B[7] O[18] 7676.99:7676.99:7676.99 7927.44:7927.44:7927.44 -IOPATH B[7] O[19] 7847.52:7847.52:7847.52 8043.01:8043.01:8043.01 -IOPATH B[7] O[20] 7733.09:7733.09:7733.09 7904.26:7904.26:7904.26 -IOPATH B[7] O[21] 7669.19:7669.19:7669.19 7834.71:7834.71:7834.71 -IOPATH B[7] O[22] 7834.98:7834.98:7834.98 8040.92:8040.92:8040.92 -IOPATH B[7] O[23] 7895.09:7895.09:7895.09 8072:8072:8072 -IOPATH B[7] O[24] 7812.33:7812.33:7812.33 7969.79:7969.79:7969.79 -IOPATH B[7] O[25] 7923.58:7923.58:7923.58 8105.99:8105.99:8105.99 -IOPATH B[7] O[26] 8086.09:8086.09:8086.09 8287.65:8287.65:8287.65 -IOPATH B[7] O[27] 8079.2:8079.2:8079.2 8243.67:8243.67:8243.67 -IOPATH B[7] O[28] 8007.28:8007.28:8007.28 8163.89:8163.89:8163.89 -IOPATH B[7] O[29] 8092.97:8092.97:8092.97 8254.67:8254.67:8254.67 -IOPATH B[7] O[30] 8160.1:8160.1:8160.1 8292.08:8292.08:8292.08 -IOPATH B[7] O[31] 8210.16:8210.16:8210.16 8300.34:8300.34:8300.34 -IOPATH B[7] SIGNEXTOUT 6711.55:6711.55:6711.55 6578.88:6578.88:6578.88 -IOPATH B[8] ACCUMCO 6749.26:6749.26:6749.26 6916.06:6916.06:6916.06 -IOPATH B[8] CO 7321.67:7321.67:7321.67 7462.04:7462.04:7462.04 -IOPATH B[8] O[8] 3304.07:3304.07:3304.07 3509.82:3509.82:3509.82 -IOPATH B[8] O[9] 3618.34:3618.34:3618.34 3844.2:3844.2:3844.2 -IOPATH B[8] O[10] 4114.22:4114.22:4114.22 4320.34:4320.34:4320.34 -IOPATH B[8] O[11] 4441.95:4441.95:4441.95 4640.95:4640.95:4640.95 -IOPATH B[8] O[12] 4706.06:4706.06:4706.06 4859.39:4859.39:4859.39 -IOPATH B[8] O[13] 4832.97:4832.97:4832.97 5020.93:5020.93:5020.93 -IOPATH B[8] O[14] 5206.22:5206.22:5206.22 5385.05:5385.05:5385.05 -IOPATH B[8] O[15] 5117.94:5117.94:5117.94 5311.3:5311.3:5311.3 -IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92 -IOPATH B[8] O[16] 6025.03:6025.03:6025.03 6276.65:6276.65:6276.65 -IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99 -IOPATH B[8] O[17] 6125.82:6125.82:6125.82 6349.69:6349.69:6349.69 -IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71 -IOPATH B[8] O[18] 6255.41:6255.41:6255.41 6505.87:6505.87:6505.87 -IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46 -IOPATH B[8] O[19] 6425.94:6425.94:6425.94 6621.43:6621.43:6621.43 -IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47 -IOPATH B[8] O[20] 6319.96:6319.96:6319.96 6491.13:6491.13:6491.13 -IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04 -IOPATH B[8] O[21] 6256.05:6256.05:6256.05 6421.57:6421.57:6421.57 -IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95 -IOPATH B[8] O[22] 6444.48:6444.48:6444.48 6650.42:6650.42:6650.42 -IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87 -IOPATH B[8] O[23] 6504.59:6504.59:6504.59 6681.5:6681.5:6681.5 -IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26 -IOPATH B[8] O[24] 6789.25:6789.25:6789.25 6946.7:6946.7:6946.7 -IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24 -IOPATH B[8] O[25] 6900.49:6900.49:6900.49 7082.9:7082.9:7082.9 -IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63 -IOPATH B[8] O[26] 7063:7063:7063 7264.56:7264.56:7264.56 -IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72 -IOPATH B[8] O[27] 7056.1:7056.1:7056.1 7220.57:7220.57:7220.57 -IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77 -IOPATH B[8] O[28] 7117.05:7117.05:7117.05 7273.65:7273.65:7273.65 -IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69 -IOPATH B[8] O[29] 7202.73:7202.73:7202.73 7364.43:7364.43:7364.43 -IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93 -IOPATH B[8] O[30] 7269.86:7269.86:7269.86 7401.84:7401.84:7401.84 -IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64 -IOPATH B[8] O[31] 7319.92:7319.92:7319.92 7410.1:7410.1:7410.1 -IOPATH B[8] SIGNEXTOUT 6154.99:6154.99:6154.99 6022.32:6022.32:6022.32 -IOPATH B[9] ACCUMCO 7077.18:7077.18:7077.18 7243.98:7243.98:7243.98 -IOPATH B[9] CO 7649.59:7649.59:7649.59 7789.96:7789.96:7789.96 -IOPATH B[9] O[8] 3547.63:3547.63:3547.63 3753.38:3753.38:3753.38 -IOPATH B[9] O[9] 3862:3862:3862 4087.86:4087.86:4087.86 -IOPATH B[9] O[10] 4406.45:4406.45:4406.45 4612.56:4612.56:4612.56 -IOPATH B[9] O[11] 4734.19:4734.19:4734.19 4933.19:4933.19:4933.19 -IOPATH B[9] O[12] 4998.3:4998.3:4998.3 5151.63:5151.63:5151.63 -IOPATH B[9] O[13] 5156.82:5156.82:5156.82 5344.79:5344.79:5344.79 -IOPATH B[9] O[14] 5530.07:5530.07:5530.07 5708.9:5708.9:5708.9 -IOPATH B[9] O[15] 5441.79:5441.79:5441.79 5635.15:5635.15:5635.15 -IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52 -IOPATH B[9] O[16] 6352.89:6352.89:6352.89 6604.52:6604.52:6604.52 -IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24 -IOPATH B[9] O[17] 6453.69:6453.69:6453.69 6677.55:6677.55:6677.55 -IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54 -IOPATH B[9] O[18] 6583.27:6583.27:6583.27 6833.73:6833.73:6833.73 -IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28 -IOPATH B[9] O[19] 6753.8:6753.8:6753.8 6949.3:6949.3:6949.3 -IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27 -IOPATH B[9] O[20] 6647.88:6647.88:6647.88 6819.05:6819.05:6819.05 -IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84 -IOPATH B[9] O[21] 6583.97:6583.97:6583.97 6749.49:6749.49:6749.49 -IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75 -IOPATH B[9] O[22] 6772.4:6772.4:6772.4 6978.34:6978.34:6978.34 -IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67 -IOPATH B[9] O[23] 6832.51:6832.51:6832.51 7009.42:7009.42:7009.42 -IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83 -IOPATH B[9] O[24] 7117.17:7117.17:7117.17 7274.62:7274.62:7274.62 -IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81 -IOPATH B[9] O[25] 7228.41:7228.41:7228.41 7410.82:7410.82:7410.82 -IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19 -IOPATH B[9] O[26] 7390.92:7390.92:7390.92 7592.48:7592.48:7592.48 -IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29 -IOPATH B[9] O[27] 7384.02:7384.02:7384.02 7548.49:7548.49:7548.49 -IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34 -IOPATH B[9] O[28] 7444.97:7444.97:7444.97 7601.57:7601.57:7601.57 -IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26 -IOPATH B[9] O[29] 7530.65:7530.65:7530.65 7692.35:7692.35:7692.35 -IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5 -IOPATH B[9] O[30] 7597.78:7597.78:7597.78 7729.76:7729.76:7729.76 -IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21 -IOPATH B[9] O[31] 7647.84:7647.84:7647.84 7738.02:7738.02:7738.02 -IOPATH B[9] SIGNEXTOUT 6482.91:6482.91:6482.91 6350.24:6350.24:6350.24 -IOPATH B[10] ACCUMCO 6913.64:6913.64:6913.64 7080.43:7080.43:7080.43 -IOPATH B[10] CO 7486.04:7486.04:7486.04 7626.42:7626.42:7626.42 -IOPATH B[10] O[10] 4147.52:4147.52:4147.52 4353.64:4353.64:4353.64 -IOPATH B[10] O[11] 4475.25:4475.25:4475.25 4674.25:4674.25:4674.25 -IOPATH B[10] O[12] 4739.36:4739.36:4739.36 4892.69:4892.69:4892.69 -IOPATH B[10] O[13] 4993.92:4993.92:4993.92 5181.89:5181.89:5181.89 -IOPATH B[10] O[14] 5367.17:5367.17:5367.17 5546:5546:5546 -IOPATH B[10] O[15] 5278.89:5278.89:5278.89 5472.26:5472.26:5472.26 -IOPATH B[10] O[16] 6190:6190:6190 6441.62:6441.62:6441.62 -IOPATH B[10] O[17] 6290.79:6290.79:6290.79 6514.65:6514.65:6514.65 -IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14 -IOPATH B[10] O[18] 6420.37:6420.37:6420.37 6670.83:6670.83:6670.83 -IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86 -IOPATH B[10] O[19] 6590.91:6590.91:6590.91 6786.4:6786.4:6786.4 -IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5 -IOPATH B[10] O[20] 6484.33:6484.33:6484.33 6655.5:6655.5:6655.5 -IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08 -IOPATH B[10] O[21] 6420.42:6420.42:6420.42 6585.94:6585.94:6585.94 -IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99 -IOPATH B[10] O[22] 6608.86:6608.86:6608.86 6814.79:6814.79:6814.79 -IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82 -IOPATH B[10] O[23] 6668.96:6668.96:6668.96 6845.87:6845.87:6845.87 -IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92 -IOPATH B[10] O[24] 6953.63:6953.63:6953.63 7111.07:7111.07:7111.07 -IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9 -IOPATH B[10] O[25] 7064.86:7064.86:7064.86 7247.27:7247.27:7247.27 -IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28 -IOPATH B[10] O[26] 7227.37:7227.37:7227.37 7428.93:7428.93:7428.93 -IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37 -IOPATH B[10] O[27] 7220.48:7220.48:7220.48 7384.95:7384.95:7384.95 -IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42 -IOPATH B[10] O[28] 7281.42:7281.42:7281.42 7438.03:7438.03:7438.03 -IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34 -IOPATH B[10] O[29] 7367.1:7367.1:7367.1 7528.81:7528.81:7528.81 -IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58 -IOPATH B[10] O[30] 7434.24:7434.24:7434.24 7566.22:7566.22:7566.22 -IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29 -IOPATH B[10] O[31] 7484.29:7484.29:7484.29 7574.47:7574.47:7574.47 -IOPATH B[10] SIGNEXTOUT 6319.36:6319.36:6319.36 6186.69:6186.69:6186.69 -IOPATH B[11] ACCUMCO 7066.18:7066.18:7066.18 7232.98:7232.98:7232.98 -IOPATH B[11] CO 7638.59:7638.59:7638.59 7778.97:7778.97:7778.97 -IOPATH B[11] O[10] 4092.08:4092.08:4092.08 4298.2:4298.2:4298.2 -IOPATH B[11] O[11] 4597.42:4597.42:4597.42 4796.42:4796.42:4796.42 -IOPATH B[11] O[12] 4861.53:4861.53:4861.53 5023.92:5023.92:5023.92 -IOPATH B[11] O[13] 5147.87:5147.87:5147.87 5335.83:5335.83:5335.83 -IOPATH B[11] O[14] 5521.12:5521.12:5521.12 5699.95:5699.95:5699.95 -IOPATH B[11] O[15] 5432.84:5432.84:5432.84 5626.2:5626.2:5626.2 -IOPATH B[11] O[16] 6343.94:6343.94:6343.94 6595.56:6595.56:6595.56 -IOPATH B[11] O[17] 6444.73:6444.73:6444.73 6668.6:6668.6:6668.6 -IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48 -IOPATH B[11] O[18] 6574.32:6574.32:6574.32 6824.78:6824.78:6824.78 -IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667 -IOPATH B[11] O[19] 6744.85:6744.85:6744.85 6940.34:6940.34:6940.34 -IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61 -IOPATH B[11] O[20] 6636.88:6636.88:6636.88 6808.05:6808.05:6808.05 -IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18 -IOPATH B[11] O[21] 6572.97:6572.97:6572.97 6738.49:6738.49:6738.49 -IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09 -IOPATH B[11] O[22] 6761.4:6761.4:6761.4 6967.34:6967.34:6967.34 -IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92 -IOPATH B[11] O[23] 6821.51:6821.51:6821.51 6998.42:6998.42:6998.42 -IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02 -IOPATH B[11] O[24] 7106.18:7106.18:7106.18 7263.62:7263.62:7263.62 -IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946 -IOPATH B[11] O[25] 7217.41:7217.41:7217.41 7399.82:7399.82:7399.82 -IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38 -IOPATH B[11] O[26] 7379.92:7379.92:7379.92 7581.48:7581.48:7581.48 -IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47 -IOPATH B[11] O[27] 7373.03:7373.03:7373.03 7537.5:7537.5:7537.5 -IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52 -IOPATH B[11] O[28] 7433.97:7433.97:7433.97 7590.57:7590.57:7590.57 -IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44 -IOPATH B[11] O[29] 7519.65:7519.65:7519.65 7681.36:7681.36:7681.36 -IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68 -IOPATH B[11] O[30] 7586.78:7586.78:7586.78 7718.76:7718.76:7718.76 -IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39 -IOPATH B[11] O[31] 7636.84:7636.84:7636.84 7727.02:7727.02:7727.02 -IOPATH B[11] SIGNEXTOUT 6471.91:6471.91:6471.91 6339.24:6339.24:6339.24 -IOPATH B[12] ACCUMCO 6815.64:6815.64:6815.64 6982.44:6982.44:6982.44 -IOPATH B[12] CO 7388.05:7388.05:7388.05 7528.42:7528.42:7528.42 -IOPATH B[12] O[12] 4420.7:4420.7:4420.7 4600.34:4600.34:4600.34 -IOPATH B[12] O[13] 4724.29:4724.29:4724.29 4912.25:4912.25:4912.25 -IOPATH B[12] O[14] 5097.54:5097.54:5097.54 5276.37:5276.37:5276.37 -IOPATH B[12] O[15] 5037.74:5037.74:5037.74 5256.19:5256.19:5256.19 -IOPATH B[12] O[16] 6087.28:6087.28:6087.28 6338.91:6338.91:6338.91 -IOPATH B[12] O[17] 6188.08:6188.08:6188.08 6411.94:6411.94:6411.94 -IOPATH B[12] O[18] 6317.66:6317.66:6317.66 6568.12:6568.12:6568.12 -IOPATH B[12] O[19] 6488.19:6488.19:6488.19 6683.69:6683.69:6683.69 -IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92 -IOPATH B[12] O[20] 6386.34:6386.34:6386.34 6557.51:6557.51:6557.51 -IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5 -IOPATH B[12] O[21] 6322.43:6322.43:6322.43 6487.95:6487.95:6487.95 -IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97 -IOPATH B[12] O[22] 6510.86:6510.86:6510.86 6716.8:6716.8:6716.8 -IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47 -IOPATH B[12] O[23] 6570.97:6570.97:6570.97 6747.88:6747.88:6747.88 -IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57 -IOPATH B[12] O[24] 6855.63:6855.63:6855.63 7013.08:7013.08:7013.08 -IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55 -IOPATH B[12] O[25] 6966.87:6966.87:6966.87 7149.28:7149.28:7149.28 -IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93 -IOPATH B[12] O[26] 7129.38:7129.38:7129.38 7330.94:7330.94:7330.94 -IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02 -IOPATH B[12] O[27] 7122.48:7122.48:7122.48 7286.95:7286.95:7286.95 -IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08 -IOPATH B[12] O[28] 7183.43:7183.43:7183.43 7340.03:7340.03:7340.03 -IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99 -IOPATH B[12] O[29] 7269.11:7269.11:7269.11 7430.81:7430.81:7430.81 -IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23 -IOPATH B[12] O[30] 7336.24:7336.24:7336.24 7468.22:7468.22:7468.22 -IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94 -IOPATH B[12] O[31] 7386.3:7386.3:7386.3 7476.48:7476.48:7476.48 -IOPATH B[12] SIGNEXTOUT 6221.37:6221.37:6221.37 6088.7:6088.7:6088.7 -IOPATH B[13] ACCUMCO 6865.85:6865.85:6865.85 7032.65:7032.65:7032.65 -IOPATH B[13] CO 7438.26:7438.26:7438.26 7578.63:7578.63:7578.63 -IOPATH B[13] O[12] 4423.51:4423.51:4423.51 4603.16:4603.16:4603.16 -IOPATH B[13] O[13] 4727.22:4727.22:4727.22 4915.19:4915.19:4915.19 -IOPATH B[13] O[14] 5100.47:5100.47:5100.47 5279.3:5279.3:5279.3 -IOPATH B[13] O[15] 5045.63:5045.63:5045.63 5264.08:5264.08:5264.08 -IOPATH B[13] O[16] 6095.17:6095.17:6095.17 6346.79:6346.79:6346.79 -IOPATH B[13] O[17] 6195.96:6195.96:6195.96 6419.83:6419.83:6419.83 -IOPATH B[13] O[18] 6325.55:6325.55:6325.55 6576.01:6576.01:6576.01 -IOPATH B[13] O[19] 6496.08:6496.08:6496.08 6691.57:6691.57:6691.57 -IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66 -IOPATH B[13] O[20] 6394.23:6394.23:6394.23 6565.39:6565.39:6565.39 -IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24 -IOPATH B[13] O[21] 6330.32:6330.32:6330.32 6495.84:6495.84:6495.84 -IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18 -IOPATH B[13] O[22] 6518.75:6518.75:6518.75 6724.69:6724.69:6724.69 -IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95 -IOPATH B[13] O[23] 6578.86:6578.86:6578.86 6755.77:6755.77:6755.77 -IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05 -IOPATH B[13] O[24] 6863.52:6863.52:6863.52 7020.97:7020.97:7020.97 -IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03 -IOPATH B[13] O[25] 6974.76:6974.76:6974.76 7157.16:7157.16:7157.16 -IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41 -IOPATH B[13] O[26] 7137.26:7137.26:7137.26 7338.83:7338.83:7338.83 -IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5 -IOPATH B[13] O[27] 7130.37:7130.37:7130.37 7294.84:7294.84:7294.84 -IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41 -IOPATH B[13] O[28] 7233.64:7233.64:7233.64 7390.24:7390.24:7390.24 -IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32 -IOPATH B[13] O[29] 7319.32:7319.32:7319.32 7481.02:7481.02:7481.02 -IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56 -IOPATH B[13] O[30] 7386.45:7386.45:7386.45 7518.43:7518.43:7518.43 -IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27 -IOPATH B[13] O[31] 7436.51:7436.51:7436.51 7526.69:7526.69:7526.69 -IOPATH B[13] SIGNEXTOUT 6271.58:6271.58:6271.58 6138.91:6138.91:6138.91 -IOPATH B[14] ACCUMCO 6558:6558:6558 6724.79:6724.79:6724.79 -IOPATH B[14] CO 7130.4:7130.4:7130.4 7270.78:7270.78:7270.78 -IOPATH B[14] O[14] 4541.43:4541.43:4541.43 4746.75:4746.75:4746.75 -IOPATH B[14] O[15] 4516.88:4516.88:4516.88 4735.33:4735.33:4735.33 -IOPATH B[14] O[16] 5566.42:5566.42:5566.42 5818.04:5818.04:5818.04 -IOPATH B[14] O[17] 5667.21:5667.21:5667.21 5891.08:5891.08:5891.08 -IOPATH B[14] O[18] 5796.8:5796.8:5796.8 6047.26:6047.26:6047.26 -IOPATH B[14] O[19] 5967.33:5967.33:5967.33 6162.82:6162.82:6162.82 -IOPATH B[14] O[20] 5865.48:5865.48:5865.48 6036.64:6036.64:6036.64 -IOPATH B[14] O[21] 5801.57:5801.57:5801.57 5967.09:5967.09:5967.09 -IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43 -IOPATH B[14] O[22] 5990:5990:5990 6195.94:6195.94:6195.94 -IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35 -IOPATH B[14] O[23] 6050.11:6050.11:6050.11 6227.02:6227.02:6227.02 -IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44 -IOPATH B[14] O[24] 6334.77:6334.77:6334.77 6492.22:6492.22:6492.22 -IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42 -IOPATH B[14] O[25] 6446.01:6446.01:6446.01 6628.42:6628.42:6628.42 -IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8 -IOPATH B[14] O[26] 6624.63:6624.63:6624.63 6826.18:6826.18:6826.18 -IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89 -IOPATH B[14] O[27] 6617.73:6617.73:6617.73 6782.2:6782.2:6782.2 -IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1 -IOPATH B[14] O[28] 6925.78:6925.78:6925.78 7082.39:7082.39:7082.39 -IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716 -IOPATH B[14] O[29] 7011.46:7011.46:7011.46 7173.17:7173.17:7173.17 -IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24 -IOPATH B[14] O[30] 7078.6:7078.6:7078.6 7210.58:7210.58:7210.58 -IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95 -IOPATH B[14] O[31] 7128.65:7128.65:7128.65 7218.83:7218.83:7218.83 -IOPATH B[14] SIGNEXTOUT 5963.72:5963.72:5963.72 5831.05:5831.05:5831.05 -IOPATH B[15] ACCUMCO 6997.74:6997.74:6997.74 7164.53:7164.53:7164.53 -IOPATH B[15] CO 7570.14:7570.14:7570.14 7710.52:7710.52:7710.52 -IOPATH B[15] O[14] 4709.93:4709.93:4709.93 4915.25:4915.25:4915.25 -IOPATH B[15] O[15] 4685.44:4685.44:4685.44 4903.89:4903.89:4903.89 -IOPATH B[15] O[16] 5734.98:5734.98:5734.98 5986.6:5986.6:5986.6 -IOPATH B[15] O[17] 5835.77:5835.77:5835.77 6059.63:6059.63:6059.63 -IOPATH B[15] O[18] 5965.36:5965.36:5965.36 6215.81:6215.81:6215.81 -IOPATH B[15] O[19] 6135.89:6135.89:6135.89 6331.38:6331.38:6331.38 -IOPATH B[15] O[20] 6221.24:6221.24:6221.24 6392.4:6392.4:6392.4 -IOPATH B[15] O[21] 6166.87:6166.87:6166.87 6350.16:6350.16:6350.16 -IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53 -IOPATH B[15] O[22] 6375.21:6375.21:6375.21 6581.15:6581.15:6581.15 -IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5 -IOPATH B[15] O[23] 6435.32:6435.32:6435.32 6612.23:6612.23:6612.23 -IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27 -IOPATH B[15] O[24] 6719.98:6719.98:6719.98 6880.47:6880.47:6880.47 -IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41 -IOPATH B[15] O[25] 6859.18:6859.18:6859.18 7059.29:7059.29:7059.29 -IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26 -IOPATH B[15] O[26] 7064.37:7064.37:7064.37 7265.92:7265.92:7265.92 -IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76 -IOPATH B[15] O[27] 7057.47:7057.47:7057.47 7221.94:7221.94:7221.94 -IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38 -IOPATH B[15] O[28] 7365.52:7365.52:7365.52 7522.13:7522.13:7522.13 -IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28 -IOPATH B[15] O[29] 7451.2:7451.2:7451.2 7612.91:7612.91:7612.91 -IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52 -IOPATH B[15] O[30] 7518.34:7518.34:7518.34 7650.32:7650.32:7650.32 -IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23 -IOPATH B[15] O[31] 7568.39:7568.39:7568.39 7658.57:7658.57:7658.57 -IOPATH B[15] SIGNEXTOUT 6403.46:6403.46:6403.46 6270.79:6270.79:6270.79 -IOPATH C[0] ACCUMCO 1420.28:1420.28:1420.28 1811.57:1811.57:1811.57 -IOPATH C[0] CO 1992.68:1992.68:1992.68 2357.56:2357.56:2357.56 -IOPATH C[0] O[16] 1792.63:1792.63:1792.63 2038.61:2038.61:2038.61 -IOPATH C[0] O[17] 1919.41:1919.41:1919.41 2136.22:2136.22:2136.22 -IOPATH C[0] O[18] 2041.95:2041.95:2041.95 2292.4:2292.4:2292.4 -IOPATH C[0] O[19] 2212.48:2212.48:2212.48 2407.97:2407.97:2407.97 -IOPATH C[0] O[20] 2304.4:2304.4:2304.4 2475.57:2475.57:2475.57 -IOPATH C[0] O[21] 2240.49:2240.49:2240.49 2406.01:2406.01:2406.01 -IOPATH C[0] O[22] 2406.29:2406.29:2406.29 2612.22:2612.22:2612.22 -IOPATH C[0] O[23] 2466.4:2466.4:2466.4 2643.31:2643.31:2643.31 -IOPATH C[0] O[24] 2383.61:2383.61:2383.61 2541.06:2541.06:2541.06 -IOPATH C[0] O[25] 2494.85:2494.85:2494.85 2677.26:2677.26:2677.26 -IOPATH C[0] O[26] 2657.36:2657.36:2657.36 2858.92:2858.92:2858.92 -IOPATH C[0] O[27] 2650.47:2650.47:2650.47 2814.94:2814.94:2814.94 -IOPATH C[0] O[28] 2578.55:2578.55:2578.55 2735.16:2735.16:2735.16 -IOPATH C[0] O[29] 2664.24:2664.24:2664.24 2825.94:2825.94:2825.94 -IOPATH C[0] O[30] 2731.37:2731.37:2731.37 2863.35:2863.35:2863.35 -IOPATH C[0] O[31] 2781.42:2781.42:2781.42 2871.61:2871.61:2871.61 -IOPATH C[1] ACCUMCO 1600.18:1600.18:1600.18 1886.89:1886.89:1886.89 -IOPATH C[1] CO 2172.58:2172.58:2172.58 2432.87:2432.87:2432.87 -IOPATH C[1] O[17] 1837.24:1837.24:1837.24 2051.22:2051.22:2051.22 -IOPATH C[1] O[18] 1989.72:1989.72:1989.72 2232.22:2232.22:2232.22 -IOPATH C[1] O[19] 2152.29:2152.29:2152.29 2347.78:2347.78:2347.78 -IOPATH C[1] O[20] 2379.71:2379.71:2379.71 2550.88:2550.88:2550.88 -IOPATH C[1] O[21] 2315.81:2315.81:2315.81 2481.33:2481.33:2481.33 -IOPATH C[1] O[22] 2481.6:2481.6:2481.6 2687.53:2687.53:2687.53 -IOPATH C[1] O[23] 2541.71:2541.71:2541.71 2718.62:2718.62:2718.62 -IOPATH C[1] O[24] 2458.92:2458.92:2458.92 2616.37:2616.37:2616.37 -IOPATH C[1] O[25] 2570.16:2570.16:2570.16 2752.57:2752.57:2752.57 -IOPATH C[1] O[26] 2732.67:2732.67:2732.67 2934.23:2934.23:2934.23 -IOPATH C[1] O[27] 2725.78:2725.78:2725.78 2890.25:2890.25:2890.25 -IOPATH C[1] O[28] 2653.86:2653.86:2653.86 2810.47:2810.47:2810.47 -IOPATH C[1] O[29] 2739.55:2739.55:2739.55 2901.25:2901.25:2901.25 -IOPATH C[1] O[30] 2806.68:2806.68:2806.68 2938.66:2938.66:2938.66 -IOPATH C[1] O[31] 2856.74:2856.74:2856.74 2946.92:2946.92:2946.92 -IOPATH C[2] ACCUMCO 1540.72:1540.72:1540.72 1781.52:1781.52:1781.52 -IOPATH C[2] CO 2113.12:2113.12:2113.12 2327.5:2327.5:2327.5 -IOPATH C[2] O[18] 1818.46:1818.46:1818.46 2054.31:2054.31:2054.31 -IOPATH C[2] O[19] 2013.86:2013.86:2013.86 2193.97:2193.97:2193.97 -IOPATH C[2] O[20] 2274.34:2274.34:2274.34 2445.51:2445.51:2445.51 -IOPATH C[2] O[21] 2210.44:2210.44:2210.44 2375.95:2375.95:2375.95 -IOPATH C[2] O[22] 2376.23:2376.23:2376.23 2582.16:2582.16:2582.16 -IOPATH C[2] O[23] 2436.34:2436.34:2436.34 2613.25:2613.25:2613.25 -IOPATH C[2] O[24] 2353.55:2353.55:2353.55 2511:2511:2511 -IOPATH C[2] O[25] 2464.79:2464.79:2464.79 2647.2:2647.2:2647.2 -IOPATH C[2] O[26] 2627.3:2627.3:2627.3 2828.86:2828.86:2828.86 -IOPATH C[2] O[27] 2620.41:2620.41:2620.41 2784.88:2784.88:2784.88 -IOPATH C[2] O[28] 2548.49:2548.49:2548.49 2705.1:2705.1:2705.1 -IOPATH C[2] O[29] 2634.18:2634.18:2634.18 2795.88:2795.88:2795.88 -IOPATH C[2] O[30] 2701.31:2701.31:2701.31 2833.29:2833.29:2833.29 -IOPATH C[2] O[31] 2751.37:2751.37:2751.37 2841.55:2841.55:2841.55 -IOPATH C[3] ACCUMCO 1478.68:1478.68:1478.68 1737.73:1737.73:1737.73 -IOPATH C[3] CO 2051.08:2051.08:2051.08 2283.72:2283.72:2283.72 -IOPATH C[3] O[19] 1891.08:1891.08:1891.08 2084.35:2084.35:2084.35 -IOPATH C[3] O[20] 2231.15:2231.15:2231.15 2402.32:2402.32:2402.32 -IOPATH C[3] O[21] 2167.25:2167.25:2167.25 2332.76:2332.76:2332.76 -IOPATH C[3] O[22] 2333.04:2333.04:2333.04 2538.97:2538.97:2538.97 -IOPATH C[3] O[23] 2393.14:2393.14:2393.14 2570.06:2570.06:2570.06 -IOPATH C[3] O[24] 2310.35:2310.35:2310.35 2467.81:2467.81:2467.81 -IOPATH C[3] O[25] 2421.6:2421.6:2421.6 2604.01:2604.01:2604.01 -IOPATH C[3] O[26] 2584.11:2584.11:2584.11 2785.67:2785.67:2785.67 -IOPATH C[3] O[27] 2577.21:2577.21:2577.21 2741.69:2741.69:2741.69 -IOPATH C[3] O[28] 2505.3:2505.3:2505.3 2661.91:2661.91:2661.91 -IOPATH C[3] O[29] 2590.99:2590.99:2590.99 2752.69:2752.69:2752.69 -IOPATH C[3] O[30] 2658.12:2658.12:2658.12 2790.1:2790.1:2790.1 -IOPATH C[3] O[31] 2708.17:2708.17:2708.17 2798.35:2798.35:2798.35 -IOPATH C[4] ACCUMCO 1495.09:1495.09:1495.09 1846.11:1846.11:1846.11 -IOPATH C[4] CO 2067.49:2067.49:2067.49 2392.1:2392.1:2392.1 -IOPATH C[4] O[20] 1870.49:1870.49:1870.49 2032.18:2032.18:2032.18 -IOPATH C[4] O[21] 1832.67:1832.67:1832.67 1987.44:1987.44:1987.44 -IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65 -IOPATH C[4] O[23] 2047.82:2047.82:2047.82 2224.73:2224.73:2224.73 -IOPATH C[4] O[24] 2187.95:2187.95:2187.95 2345.4:2345.4:2345.4 -IOPATH C[4] O[25] 2299.19:2299.19:2299.19 2481.6:2481.6:2481.6 -IOPATH C[4] O[26] 2461.7:2461.7:2461.7 2663.26:2663.26:2663.26 -IOPATH C[4] O[27] 2454.81:2454.81:2454.81 2619.28:2619.28:2619.28 -IOPATH C[4] O[28] 2382.85:2382.85:2382.85 2539.46:2539.46:2539.46 -IOPATH C[4] O[29] 2468.54:2468.54:2468.54 2630.25:2630.25:2630.25 -IOPATH C[4] O[30] 2535.67:2535.67:2535.67 2667.65:2667.65:2667.65 -IOPATH C[4] O[31] 2585.73:2585.73:2585.73 2675.91:2675.91:2675.91 -IOPATH C[5] ACCUMCO 1510.16:1510.16:1510.16 1835.14:1835.14:1835.14 -IOPATH C[5] CO 2082.57:2082.57:2082.57 2381.13:2381.13:2381.13 -IOPATH C[5] O[21] 1684.25:1684.25:1684.25 1839.96:1839.96:1839.96 -IOPATH C[5] O[22] 1872.9:1872.9:1872.9 2070.92:2070.92:2070.92 -IOPATH C[5] O[23] 1925.09:1925.09:1925.09 2102:2102:2102 -IOPATH C[5] O[24] 2177:2177:2177 2334.45:2334.45:2334.45 -IOPATH C[5] O[25] 2288.24:2288.24:2288.24 2470.64:2470.64:2470.64 -IOPATH C[5] O[26] 2450.74:2450.74:2450.74 2652.31:2652.31:2652.31 -IOPATH C[5] O[27] 2443.85:2443.85:2443.85 2608.32:2608.32:2608.32 -IOPATH C[5] O[28] 2371.9:2371.9:2371.9 2528.51:2528.51:2528.51 -IOPATH C[5] O[29] 2457.59:2457.59:2457.59 2619.29:2619.29:2619.29 -IOPATH C[5] O[30] 2524.72:2524.72:2524.72 2656.7:2656.7:2656.7 -IOPATH C[5] O[31] 2574.77:2574.77:2574.77 2664.96:2664.96:2664.96 -IOPATH C[6] ACCUMCO 1506.6:1506.6:1506.6 1786.86:1786.86:1786.86 -IOPATH C[6] CO 2079.01:2079.01:2079.01 2332.85:2332.85:2332.85 -IOPATH C[6] O[22] 1759.68:1759.68:1759.68 1952.51:1952.51:1952.51 -IOPATH C[6] O[23] 1844.67:1844.67:1844.67 2008.01:2008.01:2008.01 -IOPATH C[6] O[24] 2128.72:2128.72:2128.72 2286.17:2286.17:2286.17 -IOPATH C[6] O[25] 2239.96:2239.96:2239.96 2422.36:2422.36:2422.36 -IOPATH C[6] O[26] 2402.46:2402.46:2402.46 2604.03:2604.03:2604.03 -IOPATH C[6] O[27] 2395.57:2395.57:2395.57 2560.04:2560.04:2560.04 -IOPATH C[6] O[28] 2323.62:2323.62:2323.62 2480.23:2480.23:2480.23 -IOPATH C[6] O[29] 2409.31:2409.31:2409.31 2571.01:2571.01:2571.01 -IOPATH C[6] O[30] 2476.44:2476.44:2476.44 2608.42:2608.42:2608.42 -IOPATH C[6] O[31] 2526.49:2526.49:2526.49 2616.68:2616.68:2616.68 -IOPATH C[7] ACCUMCO 1440.07:1440.07:1440.07 1712.24:1712.24:1712.24 -IOPATH C[7] CO 2012.47:2012.47:2012.47 2258.23:2258.23:2258.23 -IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36 -IOPATH C[7] O[24] 2055.07:2055.07:2055.07 2212.75:2212.75:2212.75 -IOPATH C[7] O[25] 2166.54:2166.54:2166.54 2348.94:2348.94:2348.94 -IOPATH C[7] O[26] 2329.04:2329.04:2329.04 2530.6:2530.6:2530.6 -IOPATH C[7] O[27] 2322.15:2322.15:2322.15 2486.62:2486.62:2486.62 -IOPATH C[7] O[28] 2250.19:2250.19:2250.19 2406.8:2406.8:2406.8 -IOPATH C[7] O[29] 2335.88:2335.88:2335.88 2497.59:2497.59:2497.59 -IOPATH C[7] O[30] 2403.01:2403.01:2403.01 2534.99:2534.99:2534.99 -IOPATH C[7] O[31] 2453.07:2453.07:2453.07 2543.25:2543.25:2543.25 -IOPATH C[8] ACCUMCO 1416.64:1416.64:1416.64 1631.93:1631.93:1631.93 -IOPATH C[8] CO 1989.04:1989.04:1989.04 2177.92:2177.92:2177.92 -IOPATH C[8] O[24] 1668.06:1668.06:1668.06 1816.09:1816.09:1816.09 -IOPATH C[8] O[25] 1803.51:1803.51:1803.51 1977.13:1977.13:1977.13 -IOPATH C[8] O[26] 1957.23:1957.23:1957.23 2158.79:2158.79:2158.79 -IOPATH C[8] O[27] 1950.34:1950.34:1950.34 2114.81:2114.81:2114.81 -IOPATH C[8] O[28] 2097.23:2097.23:2097.23 2253.84:2253.84:2253.84 -IOPATH C[8] O[29] 2182.91:2182.91:2182.91 2344.62:2344.62:2344.62 -IOPATH C[8] O[30] 2250.04:2250.04:2250.04 2382.02:2382.02:2382.02 -IOPATH C[8] O[31] 2300.1:2300.1:2300.1 2390.28:2390.28:2390.28 -IOPATH C[9] ACCUMCO 1416.95:1416.95:1416.95 1655.55:1655.55:1655.55 -IOPATH C[9] CO 1989.35:1989.35:1989.35 2201.54:2201.54:2201.54 -IOPATH C[9] O[25] 1665.45:1665.45:1665.45 1835.82:1835.82:1835.82 -IOPATH C[9] O[26] 1853.21:1853.21:1853.21 2042.44:2042.44:2042.44 -IOPATH C[9] O[27] 1837:1837:1837 1998.46:1998.46:1998.46 -IOPATH C[9] O[28] 2120.85:2120.85:2120.85 2277.46:2277.46:2277.46 -IOPATH C[9] O[29] 2206.53:2206.53:2206.53 2368.24:2368.24:2368.24 -IOPATH C[9] O[30] 2273.66:2273.66:2273.66 2405.64:2405.64:2405.64 -IOPATH C[9] O[31] 2323.72:2323.72:2323.72 2413.9:2413.9:2413.9 -IOPATH C[10] ACCUMCO 1439.62:1439.62:1439.62 1625.54:1625.54:1625.54 -IOPATH C[10] CO 2012.03:2012.03:2012.03 2171.53:2171.53:2171.53 -IOPATH C[10] O[26] 1743.45:1743.45:1743.45 1933.66:1933.66:1933.66 -IOPATH C[10] O[27] 1763.07:1763.07:1763.07 1914.09:1914.09:1914.09 -IOPATH C[10] O[28] 2081.52:2081.52:2081.52 2238.12:2238.12:2238.12 -IOPATH C[10] O[29] 2167.2:2167.2:2167.2 2328.9:2328.9:2328.9 -IOPATH C[10] O[30] 2234.33:2234.33:2234.33 2366.31:2366.31:2366.31 -IOPATH C[10] O[31] 2284.39:2284.39:2284.39 2374.57:2374.57:2374.57 -IOPATH C[11] ACCUMCO 1374.79:1374.79:1374.79 1569.38:1569.38:1569.38 -IOPATH C[11] CO 1947.19:1947.19:1947.19 2115.37:2115.37:2115.37 -IOPATH C[11] O[27] 1601.32:1601.32:1601.32 1763.62:1763.62:1763.62 -IOPATH C[11] O[28] 1993.71:1993.71:1993.71 2150.31:2150.31:2150.31 -IOPATH C[11] O[29] 2079.39:2079.39:2079.39 2241.09:2241.09:2241.09 -IOPATH C[11] O[30] 2146.52:2146.52:2146.52 2278.5:2278.5:2278.5 -IOPATH C[11] O[31] 2196.58:2196.58:2196.58 2286.76:2286.76:2286.76 -IOPATH C[12] ACCUMCO 1384.34:1384.34:1384.34 1542.25:1542.25:1542.25 -IOPATH C[12] CO 1956.75:1956.75:1956.75 2088.24:2088.24:2088.24 -IOPATH C[12] O[28] 1617.58:1617.58:1617.58 1769.45:1769.45:1769.45 -IOPATH C[12] O[29] 1727.18:1727.18:1727.18 1885.07:1885.07:1885.07 -IOPATH C[12] O[30] 1790.49:1790.49:1790.49 1922.47:1922.47:1922.47 -IOPATH C[12] O[31] 1840.55:1840.55:1840.55 1930.73:1930.73:1930.73 -IOPATH C[13] ACCUMCO 1451.61:1451.61:1451.61 1622.48:1622.48:1622.48 -IOPATH C[13] CO 2024.01:2024.01:2024.01 2168.46:2168.46:2168.46 -IOPATH C[13] O[29] 1656.78:1656.78:1656.78 1804.25:1804.25:1804.25 -IOPATH C[13] O[30] 1746.89:1746.89:1746.89 1866.42:1866.42:1866.42 -IOPATH C[13] O[31] 1784.5:1784.5:1784.5 1876.93:1876.93:1876.93 -IOPATH C[14] ACCUMCO 1418.2:1418.2:1418.2 1529.47:1529.47:1529.47 -IOPATH C[14] CO 1990.6:1990.6:1990.6 2075.46:2075.46:2075.46 -IOPATH C[14] O[30] 1588.85:1588.85:1588.85 1707.02:1707.02:1707.02 -IOPATH C[14] O[31] 1670.3:1670.3:1670.3 1754.57:1754.57:1754.57 -IOPATH C[15] ACCUMCO 1361.45:1361.45:1361.45 1473.18:1473.18:1473.18 -IOPATH C[15] CO 1933.86:1933.86:1933.86 2019.16:2019.16:2019.16 -IOPATH C[15] O[31] 1510.41:1510.41:1510.41 1603.08:1603.08:1603.08 -IOPATH CI ACCUMCO 1203.03:1203.03:1203.03 1269.81:1269.81:1269.81 -IOPATH CI CO 1775.43:1775.43:1775.43 1815.79:1815.79:1815.79 -IOPATH CI O[0] 1089.81:1089.81:1089.81 1209.78:1209.78:1209.78 -IOPATH CI O[1] 1151.91:1151.91:1151.91 1248.62:1248.62:1248.62 -IOPATH CI O[2] 1266.71:1266.71:1266.71 1392.02:1392.02:1392.02 -IOPATH CI O[3] 1363.1:1363.1:1363.1 1494.29:1494.29:1494.29 -IOPATH CI O[4] 1288.51:1288.51:1288.51 1418.65:1418.65:1418.65 -IOPATH CI O[5] 1416.3:1416.3:1416.3 1563.02:1563.02:1563.02 -IOPATH CI O[6] 1549.19:1549.19:1549.19 1711.59:1711.59:1711.59 -IOPATH CI O[7] 1615.61:1615.61:1615.61 1763.94:1763.94:1763.94 -IOPATH CI O[8] 1605.23:1605.23:1605.23 1785.55:1785.55:1785.55 -IOPATH CI O[9] 1734.92:1734.92:1734.92 1935.01:1935.01:1935.01 -IOPATH CI O[10] 1801.89:1801.89:1801.89 1982.27:1982.27:1982.27 -IOPATH CI O[11] 2027.41:2027.41:2027.41 2201.79:2201.79:2201.79 -IOPATH CI O[12] 1822.22:1822.22:1822.22 1975.67:1975.67:1975.67 -IOPATH CI O[13] 1934.35:1934.35:1934.35 2095.28:2095.28:2095.28 -IOPATH CI O[14] 2256.72:2256.72:2256.72 2435.56:2435.56:2435.56 -IOPATH CI O[15] 2168.45:2168.45:2168.45 2361.82:2361.82:2361.82 -IOPATH CI O[16] 1903.69:1903.69:1903.69 2140.42:2140.42:2140.42 -IOPATH CI O[17] 1992.8:1992.8:1992.8 2201.16:2201.16:2201.16 -IOPATH CI O[18] 2109.01:2109.01:2109.01 2343.97:2343.97:2343.97 -IOPATH CI O[19] 2269.36:2269.36:2269.36 2449.68:2449.68:2449.68 -IOPATH CI O[20] 2142.96:2142.96:2142.96 2299.76:2299.76:2299.76 -IOPATH CI O[21] 2067.33:2067.33:2067.33 2230.21:2230.21:2230.21 -IOPATH CI O[22] 2230.48:2230.48:2230.48 2436.42:2436.42:2436.42 -IOPATH CI O[23] 2290.59:2290.59:2290.59 2467.5:2467.5:2467.5 -IOPATH CI O[24] 2207.84:2207.84:2207.84 2365.29:2365.29:2365.29 -IOPATH CI O[25] 2319.08:2319.08:2319.08 2501.49:2501.49:2501.49 -IOPATH CI O[26] 2481.59:2481.59:2481.59 2683.15:2683.15:2683.15 -IOPATH CI O[27] 2474.7:2474.7:2474.7 2639.17:2639.17:2639.17 -IOPATH CI O[28] 2402.78:2402.78:2402.78 2559.39:2559.39:2559.39 -IOPATH CI O[29] 2488.47:2488.47:2488.47 2650.17:2650.17:2650.17 -IOPATH CI O[30] 2555.6:2555.6:2555.6 2687.58:2687.58:2687.58 -IOPATH CI O[31] 2605.65:2605.65:2605.65 2695.84:2695.84:2695.84 -IOPATH D[0] ACCUMCO 2037.86:2037.86:2037.86 2350.45:2350.45:2350.45 -IOPATH D[0] CO 2610.26:2610.26:2610.26 2896.44:2896.44:2896.44 -IOPATH D[0] O[0] 1565.87:1565.87:1565.87 1696.48:1696.48:1696.48 -IOPATH D[0] O[1] 1665.82:1665.82:1665.82 1770.39:1770.39:1770.39 -IOPATH D[0] O[2] 1788.48:1788.48:1788.48 1913.8:1913.8:1913.8 -IOPATH D[0] O[3] 1884.88:1884.88:1884.88 2016.07:2016.07:2016.07 -IOPATH D[0] O[4] 2016.16:2016.16:2016.16 2146.3:2146.3:2146.3 -IOPATH D[0] O[5] 2143.95:2143.95:2143.95 2290.66:2290.66:2290.66 -IOPATH D[0] O[6] 2276.83:2276.83:2276.83 2439.23:2439.23:2439.23 -IOPATH D[0] O[7] 2343.26:2343.26:2343.26 2491.58:2491.58:2491.58 -IOPATH D[0] O[8] 2332.85:2332.85:2332.85 2513.18:2513.18:2513.18 -IOPATH D[0] O[9] 2462.54:2462.54:2462.54 2662.63:2662.63:2662.63 -IOPATH D[0] O[10] 2529.52:2529.52:2529.52 2709.9:2709.9:2709.9 -IOPATH D[0] O[11] 2755.04:2755.04:2755.04 2929.41:2929.41:2929.41 -IOPATH D[0] O[12] 2549.84:2549.84:2549.84 2703.29:2703.29:2703.29 -IOPATH D[0] O[13] 2661.97:2661.97:2661.97 2822.91:2822.91:2822.91 -IOPATH D[0] O[14] 2984.35:2984.35:2984.35 3163.19:3163.19:3163.19 -IOPATH D[0] O[15] 2896.08:2896.08:2896.08 3089.44:3089.44:3089.44 -IOPATH D[0] O[16] 2922.76:2922.76:2922.76 3174.38:3174.38:3174.38 -IOPATH D[0] O[17] 3023.55:3023.55:3023.55 3247.41:3247.41:3247.41 -IOPATH D[0] O[18] 3153.13:3153.13:3153.13 3403.59:3403.59:3403.59 -IOPATH D[0] O[19] 3323.66:3323.66:3323.66 3519.16:3519.16:3519.16 -IOPATH D[0] O[20] 3209.24:3209.24:3209.24 3380.41:3380.41:3380.41 -IOPATH D[0] O[21] 3145.34:3145.34:3145.34 3310.86:3310.86:3310.86 -IOPATH D[0] O[22] 3311.13:3311.13:3311.13 3517.07:3517.07:3517.07 -IOPATH D[0] O[23] 3371.24:3371.24:3371.24 3548.15:3548.15:3548.15 -IOPATH D[0] O[24] 3288.48:3288.48:3288.48 3445.94:3445.94:3445.94 -IOPATH D[0] O[25] 3399.73:3399.73:3399.73 3582.14:3582.14:3582.14 -IOPATH D[0] O[26] 3562.24:3562.24:3562.24 3763.8:3763.8:3763.8 -IOPATH D[0] O[27] 3555.34:3555.34:3555.34 3719.81:3719.81:3719.81 -IOPATH D[0] O[28] 3483.43:3483.43:3483.43 3640.04:3640.04:3640.04 -IOPATH D[0] O[29] 3569.12:3569.12:3569.12 3730.82:3730.82:3730.82 -IOPATH D[0] O[30] 3636.25:3636.25:3636.25 3768.23:3768.23:3768.23 -IOPATH D[0] O[31] 3686.3:3686.3:3686.3 3776.48:3776.48:3776.48 -IOPATH D[1] ACCUMCO 2201.29:2201.29:2201.29 2413.53:2413.53:2413.53 -IOPATH D[1] CO 2773.69:2773.69:2773.69 2959.52:2959.52:2959.52 -IOPATH D[1] O[1] 1577.75:1577.75:1577.75 1677.46:1677.46:1677.46 -IOPATH D[1] O[2] 1728.82:1728.82:1728.82 1845.42:1845.42:1845.42 -IOPATH D[1] O[3] 1816.5:1816.5:1816.5 1947.69:1947.69:1947.69 -IOPATH D[1] O[4] 2079.24:2079.24:2079.24 2209.38:2209.38:2209.38 -IOPATH D[1] O[5] 2207.03:2207.03:2207.03 2353.74:2353.74:2353.74 -IOPATH D[1] O[6] 2339.91:2339.91:2339.91 2502.31:2502.31:2502.31 -IOPATH D[1] O[7] 2406.33:2406.33:2406.33 2554.66:2554.66:2554.66 -IOPATH D[1] O[8] 2395.93:2395.93:2395.93 2576.26:2576.26:2576.26 -IOPATH D[1] O[9] 2525.62:2525.62:2525.62 2725.71:2725.71:2725.71 -IOPATH D[1] O[10] 2592.6:2592.6:2592.6 2772.98:2772.98:2772.98 -IOPATH D[1] O[11] 2818.12:2818.12:2818.12 2992.49:2992.49:2992.49 -IOPATH D[1] O[12] 2612.92:2612.92:2612.92 2766.37:2766.37:2766.37 -IOPATH D[1] O[13] 2725.05:2725.05:2725.05 2885.99:2885.99:2885.99 -IOPATH D[1] O[14] 3047.43:3047.43:3047.43 3226.27:3226.27:3226.27 -IOPATH D[1] O[15] 2959.16:2959.16:2959.16 3152.52:3152.52:3152.52 -IOPATH D[1] O[16] 2985.83:2985.83:2985.83 3237.45:3237.45:3237.45 -IOPATH D[1] O[17] 3086.63:3086.63:3086.63 3310.49:3310.49:3310.49 -IOPATH D[1] O[18] 3216.21:3216.21:3216.21 3466.67:3466.67:3466.67 -IOPATH D[1] O[19] 3386.74:3386.74:3386.74 3582.23:3582.23:3582.23 -IOPATH D[1] O[20] 3272.31:3272.31:3272.31 3443.48:3443.48:3443.48 -IOPATH D[1] O[21] 3208.41:3208.41:3208.41 3373.93:3373.93:3373.93 -IOPATH D[1] O[22] 3374.2:3374.2:3374.2 3580.14:3580.14:3580.14 -IOPATH D[1] O[23] 3434.31:3434.31:3434.31 3611.22:3611.22:3611.22 -IOPATH D[1] O[24] 3351.56:3351.56:3351.56 3509.01:3509.01:3509.01 -IOPATH D[1] O[25] 3462.8:3462.8:3462.8 3645.21:3645.21:3645.21 -IOPATH D[1] O[26] 3625.31:3625.31:3625.31 3826.87:3826.87:3826.87 -IOPATH D[1] O[27] 3618.42:3618.42:3618.42 3782.89:3782.89:3782.89 -IOPATH D[1] O[28] 3546.5:3546.5:3546.5 3703.11:3703.11:3703.11 -IOPATH D[1] O[29] 3632.19:3632.19:3632.19 3793.9:3793.9:3793.9 -IOPATH D[1] O[30] 3699.32:3699.32:3699.32 3831.3:3831.3:3831.3 -IOPATH D[1] O[31] 3749.38:3749.38:3749.38 3839.56:3839.56:3839.56 -IOPATH D[2] ACCUMCO 2162.17:2162.17:2162.17 2331.91:2331.91:2331.91 -IOPATH D[2] CO 2734.57:2734.57:2734.57 2877.9:2877.9:2877.9 -IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2 -IOPATH D[2] O[3] 1699.81:1699.81:1699.81 1820.98:1820.98:1820.98 -IOPATH D[2] O[4] 1997.63:1997.63:1997.63 2127.76:2127.76:2127.76 -IOPATH D[2] O[5] 2125.41:2125.41:2125.41 2272.12:2272.12:2272.12 -IOPATH D[2] O[6] 2258.3:2258.3:2258.3 2420.7:2420.7:2420.7 -IOPATH D[2] O[7] 2324.72:2324.72:2324.72 2473.04:2473.04:2473.04 -IOPATH D[2] O[8] 2314.32:2314.32:2314.32 2494.64:2494.64:2494.64 -IOPATH D[2] O[9] 2444.01:2444.01:2444.01 2644.1:2644.1:2644.1 -IOPATH D[2] O[10] 2510.98:2510.98:2510.98 2691.36:2691.36:2691.36 -IOPATH D[2] O[11] 2736.5:2736.5:2736.5 2910.88:2910.88:2910.88 -IOPATH D[2] O[12] 2531.31:2531.31:2531.31 2684.75:2684.75:2684.75 -IOPATH D[2] O[13] 2643.43:2643.43:2643.43 2804.37:2804.37:2804.37 -IOPATH D[2] O[14] 2965.81:2965.81:2965.81 3144.65:3144.65:3144.65 -IOPATH D[2] O[15] 2877.54:2877.54:2877.54 3070.9:3070.9:3070.9 -IOPATH D[2] O[16] 2904.22:2904.22:2904.22 3155.84:3155.84:3155.84 -IOPATH D[2] O[17] 3005.01:3005.01:3005.01 3228.87:3228.87:3228.87 -IOPATH D[2] O[18] 3134.59:3134.59:3134.59 3385.05:3385.05:3385.05 -IOPATH D[2] O[19] 3305.13:3305.13:3305.13 3500.62:3500.62:3500.62 -IOPATH D[2] O[20] 3190.7:3190.7:3190.7 3361.87:3361.87:3361.87 -IOPATH D[2] O[21] 3126.8:3126.8:3126.8 3292.32:3292.32:3292.32 -IOPATH D[2] O[22] 3292.59:3292.59:3292.59 3498.53:3498.53:3498.53 -IOPATH D[2] O[23] 3352.7:3352.7:3352.7 3529.61:3529.61:3529.61 -IOPATH D[2] O[24] 3269.94:3269.94:3269.94 3427.4:3427.4:3427.4 -IOPATH D[2] O[25] 3381.19:3381.19:3381.19 3563.6:3563.6:3563.6 -IOPATH D[2] O[26] 3543.7:3543.7:3543.7 3745.26:3745.26:3745.26 -IOPATH D[2] O[27] 3536.8:3536.8:3536.8 3701.27:3701.27:3701.27 -IOPATH D[2] O[28] 3464.89:3464.89:3464.89 3621.5:3621.5:3621.5 -IOPATH D[2] O[29] 3550.58:3550.58:3550.58 3712.28:3712.28:3712.28 -IOPATH D[2] O[30] 3617.71:3617.71:3617.71 3749.69:3749.69:3749.69 -IOPATH D[2] O[31] 3667.76:3667.76:3667.76 3757.94:3757.94:3757.94 -IOPATH D[3] ACCUMCO 2089.13:2089.13:2089.13 2277.23:2277.23:2277.23 -IOPATH D[3] CO 2661.53:2661.53:2661.53 2823.22:2823.22:2823.22 -IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1688.42:1688.42:1688.42 -IOPATH D[3] O[4] 1943.81:1943.81:1943.81 2073.94:2073.94:2073.94 -IOPATH D[3] O[5] 2071.59:2071.59:2071.59 2218.3:2218.3:2218.3 -IOPATH D[3] O[6] 2204.47:2204.47:2204.47 2366.88:2366.88:2366.88 -IOPATH D[3] O[7] 2270.9:2270.9:2270.9 2419.22:2419.22:2419.22 -IOPATH D[3] O[8] 2260.49:2260.49:2260.49 2440.82:2440.82:2440.82 -IOPATH D[3] O[9] 2390.18:2390.18:2390.18 2590.27:2590.27:2590.27 -IOPATH D[3] O[10] 2457.15:2457.15:2457.15 2637.54:2637.54:2637.54 -IOPATH D[3] O[11] 2682.67:2682.67:2682.67 2857.05:2857.05:2857.05 -IOPATH D[3] O[12] 2477.48:2477.48:2477.48 2630.93:2630.93:2630.93 -IOPATH D[3] O[13] 2589.61:2589.61:2589.61 2750.55:2750.55:2750.55 -IOPATH D[3] O[14] 2911.99:2911.99:2911.99 3090.83:3090.83:3090.83 -IOPATH D[3] O[15] 2823.72:2823.72:2823.72 3017.08:3017.08:3017.08 -IOPATH D[3] O[16] 2849.53:2849.53:2849.53 3101.16:3101.16:3101.16 -IOPATH D[3] O[17] 2950.33:2950.33:2950.33 3174.19:3174.19:3174.19 -IOPATH D[3] O[18] 3079.92:3079.92:3079.92 3330.37:3330.37:3330.37 -IOPATH D[3] O[19] 3250.45:3250.45:3250.45 3445.94:3445.94:3445.94 -IOPATH D[3] O[20] 3136.02:3136.02:3136.02 3307.19:3307.19:3307.19 -IOPATH D[3] O[21] 3072.12:3072.12:3072.12 3237.63:3237.63:3237.63 -IOPATH D[3] O[22] 3237.91:3237.91:3237.91 3443.84:3443.84:3443.84 -IOPATH D[3] O[23] 3298.02:3298.02:3298.02 3474.93:3474.93:3474.93 -IOPATH D[3] O[24] 3215.26:3215.26:3215.26 3372.72:3372.72:3372.72 -IOPATH D[3] O[25] 3326.51:3326.51:3326.51 3508.92:3508.92:3508.92 -IOPATH D[3] O[26] 3489.02:3489.02:3489.02 3690.58:3690.58:3690.58 -IOPATH D[3] O[27] 3482.12:3482.12:3482.12 3646.59:3646.59:3646.59 -IOPATH D[3] O[28] 3410.21:3410.21:3410.21 3566.82:3566.82:3566.82 -IOPATH D[3] O[29] 3495.9:3495.9:3495.9 3657.6:3657.6:3657.6 -IOPATH D[3] O[30] 3563.03:3563.03:3563.03 3695.01:3695.01:3695.01 -IOPATH D[3] O[31] 3613.08:3613.08:3613.08 3703.26:3703.26:3703.26 -IOPATH D[4] ACCUMCO 2118.5:2118.5:2118.5 2379.93:2379.93:2379.93 -IOPATH D[4] CO 2690.9:2690.9:2690.9 2925.91:2925.91:2925.91 -IOPATH D[4] O[4] 1587.68:1587.68:1587.68 1713.97:1713.97:1713.97 -IOPATH D[4] O[5] 1740.02:1740.02:1740.02 1883.23:1883.23:1883.23 -IOPATH D[4] O[6] 1869.4:1869.4:1869.4 2031.8:2031.8:2031.8 -IOPATH D[4] O[7] 1935.83:1935.83:1935.83 2084.15:2084.15:2084.15 -IOPATH D[4] O[8] 2137.35:2137.35:2137.35 2317.67:2317.67:2317.67 -IOPATH D[4] O[9] 2267.03:2267.03:2267.03 2467.12:2467.12:2467.12 -IOPATH D[4] O[10] 2334:2334:2334 2514.39:2514.39:2514.39 -IOPATH D[4] O[11] 2559.53:2559.53:2559.53 2733.9:2733.9:2733.9 -IOPATH D[4] O[12] 2354.3:2354.3:2354.3 2507.74:2507.74:2507.74 -IOPATH D[4] O[13] 2466.42:2466.42:2466.42 2627.36:2627.36:2627.36 -IOPATH D[4] O[14] 2788.8:2788.8:2788.8 2967.64:2967.64:2967.64 -IOPATH D[4] O[15] 2700.53:2700.53:2700.53 2893.89:2893.89:2893.89 -IOPATH D[4] O[16] 2952.23:2952.23:2952.23 3203.85:3203.85:3203.85 -IOPATH D[4] O[17] 3053.02:3053.02:3053.02 3276.89:3276.89:3276.89 -IOPATH D[4] O[18] 3182.61:3182.61:3182.61 3433.07:3433.07:3433.07 -IOPATH D[4] O[19] 3353.14:3353.14:3353.14 3548.63:3548.63:3548.63 -IOPATH D[4] O[20] 3238.71:3238.71:3238.71 3409.88:3409.88:3409.88 -IOPATH D[4] O[21] 3174.81:3174.81:3174.81 3340.33:3340.33:3340.33 -IOPATH D[4] O[22] 3340.6:3340.6:3340.6 3546.54:3546.54:3546.54 -IOPATH D[4] O[23] 3400.71:3400.71:3400.71 3577.62:3577.62:3577.62 -IOPATH D[4] O[24] 3317.95:3317.95:3317.95 3475.41:3475.41:3475.41 -IOPATH D[4] O[25] 3429.2:3429.2:3429.2 3611.61:3611.61:3611.61 -IOPATH D[4] O[26] 3591.71:3591.71:3591.71 3793.27:3793.27:3793.27 -IOPATH D[4] O[27] 3584.82:3584.82:3584.82 3749.29:3749.29:3749.29 -IOPATH D[4] O[28] 3512.9:3512.9:3512.9 3669.51:3669.51:3669.51 -IOPATH D[4] O[29] 3598.59:3598.59:3598.59 3760.29:3760.29:3760.29 -IOPATH D[4] O[30] 3665.72:3665.72:3665.72 3797.7:3797.7:3797.7 -IOPATH D[4] O[31] 3715.78:3715.78:3715.78 3805.96:3805.96:3805.96 -IOPATH D[5] ACCUMCO 2181.74:2181.74:2181.74 2435.78:2435.78:2435.78 -IOPATH D[5] CO 2754.14:2754.14:2754.14 2981.77:2981.77:2981.77 -IOPATH D[5] O[5] 1644.91:1644.91:1644.91 1780.65:1780.65:1780.65 -IOPATH D[5] O[6] 1800.62:1800.62:1800.62 1953.72:1953.72:1953.72 -IOPATH D[5] O[7] 1857.81:1857.81:1857.81 2006.07:2006.07:2006.07 -IOPATH D[5] O[8] 2193.23:2193.23:2193.23 2373.55:2373.55:2373.55 -IOPATH D[5] O[9] 2322.91:2322.91:2322.91 2523:2523:2523 -IOPATH D[5] O[10] 2389.88:2389.88:2389.88 2570.26:2570.26:2570.26 -IOPATH D[5] O[11] 2615.4:2615.4:2615.4 2789.77:2789.77:2789.77 -IOPATH D[5] O[12] 2410.17:2410.17:2410.17 2563.62:2563.62:2563.62 -IOPATH D[5] O[13] 2522.3:2522.3:2522.3 2683.24:2683.24:2683.24 -IOPATH D[5] O[14] 2844.68:2844.68:2844.68 3023.51:3023.51:3023.51 -IOPATH D[5] O[15] 2756.4:2756.4:2756.4 2949.77:2949.77:2949.77 -IOPATH D[5] O[16] 3008.08:3008.08:3008.08 3259.7:3259.7:3259.7 -IOPATH D[5] O[17] 3108.88:3108.88:3108.88 3332.74:3332.74:3332.74 -IOPATH D[5] O[18] 3238.46:3238.46:3238.46 3488.92:3488.92:3488.92 -IOPATH D[5] O[19] 3408.99:3408.99:3408.99 3604.48:3604.48:3604.48 -IOPATH D[5] O[20] 3294.56:3294.56:3294.56 3465.73:3465.73:3465.73 -IOPATH D[5] O[21] 3230.66:3230.66:3230.66 3396.18:3396.18:3396.18 -IOPATH D[5] O[22] 3396.45:3396.45:3396.45 3602.39:3602.39:3602.39 -IOPATH D[5] O[23] 3456.56:3456.56:3456.56 3633.48:3633.48:3633.48 -IOPATH D[5] O[24] 3373.81:3373.81:3373.81 3531.26:3531.26:3531.26 -IOPATH D[5] O[25] 3485.05:3485.05:3485.05 3667.46:3667.46:3667.46 -IOPATH D[5] O[26] 3647.56:3647.56:3647.56 3849.12:3849.12:3849.12 -IOPATH D[5] O[27] 3640.67:3640.67:3640.67 3805.14:3805.14:3805.14 -IOPATH D[5] O[28] 3568.75:3568.75:3568.75 3725.36:3725.36:3725.36 -IOPATH D[5] O[29] 3654.44:3654.44:3654.44 3816.14:3816.14:3816.14 -IOPATH D[5] O[30] 3721.57:3721.57:3721.57 3853.55:3853.55:3853.55 -IOPATH D[5] O[31] 3771.63:3771.63:3771.63 3861.81:3861.81:3861.81 -IOPATH D[6] ACCUMCO 2118.9:2118.9:2118.9 2328.85:2328.85:2328.85 -IOPATH D[6] CO 2691.31:2691.31:2691.31 2874.84:2874.84:2874.84 -IOPATH D[6] O[6] 1633.45:1633.45:1633.45 1785.59:1785.59:1785.59 -IOPATH D[6] O[7] 1726.78:1726.78:1726.78 1862.42:1862.42:1862.42 -IOPATH D[6] O[8] 2086.3:2086.3:2086.3 2266.62:2266.62:2266.62 -IOPATH D[6] O[9] 2215.98:2215.98:2215.98 2416.07:2416.07:2416.07 -IOPATH D[6] O[10] 2282.96:2282.96:2282.96 2463.34:2463.34:2463.34 -IOPATH D[6] O[11] 2508.48:2508.48:2508.48 2682.85:2682.85:2682.85 -IOPATH D[6] O[12] 2303.25:2303.25:2303.25 2456.69:2456.69:2456.69 -IOPATH D[6] O[13] 2415.37:2415.37:2415.37 2576.31:2576.31:2576.31 -IOPATH D[6] O[14] 2737.75:2737.75:2737.75 2916.59:2916.59:2916.59 -IOPATH D[6] O[15] 2649.48:2649.48:2649.48 2842.84:2842.84:2842.84 -IOPATH D[6] O[16] 2901.15:2901.15:2901.15 3152.78:3152.78:3152.78 -IOPATH D[6] O[17] 3001.95:3001.95:3001.95 3225.81:3225.81:3225.81 -IOPATH D[6] O[18] 3131.53:3131.53:3131.53 3381.99:3381.99:3381.99 -IOPATH D[6] O[19] 3302.06:3302.06:3302.06 3497.55:3497.55:3497.55 -IOPATH D[6] O[20] 3187.63:3187.63:3187.63 3358.81:3358.81:3358.81 -IOPATH D[6] O[21] 3123.73:3123.73:3123.73 3289.25:3289.25:3289.25 -IOPATH D[6] O[22] 3289.53:3289.53:3289.53 3495.46:3495.46:3495.46 -IOPATH D[6] O[23] 3349.63:3349.63:3349.63 3526.55:3526.55:3526.55 -IOPATH D[6] O[24] 3266.88:3266.88:3266.88 3424.33:3424.33:3424.33 -IOPATH D[6] O[25] 3378.13:3378.13:3378.13 3560.53:3560.53:3560.53 -IOPATH D[6] O[26] 3540.63:3540.63:3540.63 3742.2:3742.2:3742.2 -IOPATH D[6] O[27] 3533.74:3533.74:3533.74 3698.21:3698.21:3698.21 -IOPATH D[6] O[28] 3461.82:3461.82:3461.82 3618.43:3618.43:3618.43 -IOPATH D[6] O[29] 3547.51:3547.51:3547.51 3709.21:3709.21:3709.21 -IOPATH D[6] O[30] 3614.64:3614.64:3614.64 3746.62:3746.62:3746.62 -IOPATH D[6] O[31] 3664.7:3664.7:3664.7 3754.88:3754.88:3754.88 -IOPATH D[7] ACCUMCO 2107.83:2107.83:2107.83 2300.6:2300.6:2300.6 -IOPATH D[7] CO 2680.24:2680.24:2680.24 2846.58:2846.58:2846.58 -IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54 -IOPATH D[7] O[8] 2066.58:2066.58:2066.58 2239.91:2239.91:2239.91 -IOPATH D[7] O[9] 2189.27:2189.27:2189.27 2389.36:2389.36:2389.36 -IOPATH D[7] O[10] 2256.24:2256.24:2256.24 2436.63:2436.63:2436.63 -IOPATH D[7] O[11] 2481.77:2481.77:2481.77 2656.14:2656.14:2656.14 -IOPATH D[7] O[12] 2276.53:2276.53:2276.53 2429.98:2429.98:2429.98 -IOPATH D[7] O[13] 2388.66:2388.66:2388.66 2549.6:2549.6:2549.6 -IOPATH D[7] O[14] 2711.04:2711.04:2711.04 2889.88:2889.88:2889.88 -IOPATH D[7] O[15] 2622.77:2622.77:2622.77 2816.13:2816.13:2816.13 -IOPATH D[7] O[16] 2872.9:2872.9:2872.9 3124.52:3124.52:3124.52 -IOPATH D[7] O[17] 2973.69:2973.69:2973.69 3197.56:3197.56:3197.56 -IOPATH D[7] O[18] 3103.28:3103.28:3103.28 3353.73:3353.73:3353.73 -IOPATH D[7] O[19] 3273.81:3273.81:3273.81 3469.3:3469.3:3469.3 -IOPATH D[7] O[20] 3159.38:3159.38:3159.38 3330.55:3330.55:3330.55 -IOPATH D[7] O[21] 3095.48:3095.48:3095.48 3261:3261:3261 -IOPATH D[7] O[22] 3261.27:3261.27:3261.27 3467.21:3467.21:3467.21 -IOPATH D[7] O[23] 3321.38:3321.38:3321.38 3498.29:3498.29:3498.29 -IOPATH D[7] O[24] 3238.63:3238.63:3238.63 3396.08:3396.08:3396.08 -IOPATH D[7] O[25] 3349.87:3349.87:3349.87 3532.28:3532.28:3532.28 -IOPATH D[7] O[26] 3512.38:3512.38:3512.38 3713.94:3713.94:3713.94 -IOPATH D[7] O[27] 3505.49:3505.49:3505.49 3669.96:3669.96:3669.96 -IOPATH D[7] O[28] 3433.57:3433.57:3433.57 3590.18:3590.18:3590.18 -IOPATH D[7] O[29] 3519.26:3519.26:3519.26 3680.96:3680.96:3680.96 -IOPATH D[7] O[30] 3586.39:3586.39:3586.39 3718.37:3718.37:3718.37 -IOPATH D[7] O[31] 3636.45:3636.45:3636.45 3726.63:3726.63:3726.63 -IOPATH D[8] ACCUMCO 2102.4:2102.4:2102.4 2232.96:2232.96:2232.96 -IOPATH D[8] CO 2674.8:2674.8:2674.8 2778.95:2778.95:2778.95 -IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38 -IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5 -IOPATH D[8] O[10] 1908.38:1908.38:1908.38 2088.77:2088.77:2088.77 -IOPATH D[8] O[11] 2133.9:2133.9:2133.9 2308.28:2308.28:2308.28 -IOPATH D[8] O[12] 2125.47:2125.47:2125.47 2278.82:2278.82:2278.82 -IOPATH D[8] O[13] 2237.51:2237.51:2237.51 2398.45:2398.45:2398.45 -IOPATH D[8] O[14] 2559.89:2559.89:2559.89 2738.72:2738.72:2738.72 -IOPATH D[8] O[15] 2471.61:2471.61:2471.61 2664.98:2664.98:2664.98 -IOPATH D[8] O[16] 2805.27:2805.27:2805.27 3056.89:3056.89:3056.89 -IOPATH D[8] O[17] 2906.06:2906.06:2906.06 3129.92:3129.92:3129.92 -IOPATH D[8] O[18] 3035.64:3035.64:3035.64 3286.1:3286.1:3286.1 -IOPATH D[8] O[19] 3206.17:3206.17:3206.17 3401.67:3401.67:3401.67 -IOPATH D[8] O[20] 3091.74:3091.74:3091.74 3262.92:3262.92:3262.92 -IOPATH D[8] O[21] 3027.84:3027.84:3027.84 3193.36:3193.36:3193.36 -IOPATH D[8] O[22] 3193.64:3193.64:3193.64 3399.57:3399.57:3399.57 -IOPATH D[8] O[23] 3253.75:3253.75:3253.75 3430.66:3430.66:3430.66 -IOPATH D[8] O[24] 3170.99:3170.99:3170.99 3328.45:3328.45:3328.45 -IOPATH D[8] O[25] 3282.24:3282.24:3282.24 3464.64:3464.64:3464.64 -IOPATH D[8] O[26] 3444.74:3444.74:3444.74 3646.31:3646.31:3646.31 -IOPATH D[8] O[27] 3437.85:3437.85:3437.85 3602.32:3602.32:3602.32 -IOPATH D[8] O[28] 3365.93:3365.93:3365.93 3522.54:3522.54:3522.54 -IOPATH D[8] O[29] 3451.62:3451.62:3451.62 3613.33:3613.33:3613.33 -IOPATH D[8] O[30] 3518.76:3518.76:3518.76 3650.73:3650.73:3650.73 -IOPATH D[8] O[31] 3568.81:3568.81:3568.81 3658.99:3658.99:3658.99 -IOPATH D[9] ACCUMCO 2121.42:2121.42:2121.42 2268.96:2268.96:2268.96 -IOPATH D[9] CO 2693.83:2693.83:2693.83 2814.95:2814.95:2814.95 -IOPATH D[9] O[9] 1731.35:1731.35:1731.35 1922.94:1922.94:1922.94 -IOPATH D[9] O[10] 1821.66:1821.66:1821.66 1994.81:1994.81:1994.81 -IOPATH D[9] O[11] 2039.94:2039.94:2039.94 2214.32:2214.32:2214.32 -IOPATH D[9] O[12] 2161.46:2161.46:2161.46 2314.81:2314.81:2314.81 -IOPATH D[9] O[13] 2273.5:2273.5:2273.5 2434.44:2434.44:2434.44 -IOPATH D[9] O[14] 2595.88:2595.88:2595.88 2774.72:2774.72:2774.72 -IOPATH D[9] O[15] 2507.61:2507.61:2507.61 2700.97:2700.97:2700.97 -IOPATH D[9] O[16] 2841.26:2841.26:2841.26 3092.88:3092.88:3092.88 -IOPATH D[9] O[17] 2942.06:2942.06:2942.06 3165.92:3165.92:3165.92 -IOPATH D[9] O[18] 3071.64:3071.64:3071.64 3322.1:3322.1:3322.1 -IOPATH D[9] O[19] 3242.17:3242.17:3242.17 3437.67:3437.67:3437.67 -IOPATH D[9] O[20] 3127.74:3127.74:3127.74 3298.91:3298.91:3298.91 -IOPATH D[9] O[21] 3063.84:3063.84:3063.84 3229.36:3229.36:3229.36 -IOPATH D[9] O[22] 3229.63:3229.63:3229.63 3435.57:3435.57:3435.57 -IOPATH D[9] O[23] 3289.74:3289.74:3289.74 3466.66:3466.66:3466.66 -IOPATH D[9] O[24] 3206.99:3206.99:3206.99 3364.44:3364.44:3364.44 -IOPATH D[9] O[25] 3318.24:3318.24:3318.24 3500.64:3500.64:3500.64 -IOPATH D[9] O[26] 3480.74:3480.74:3480.74 3682.31:3682.31:3682.31 -IOPATH D[9] O[27] 3473.85:3473.85:3473.85 3638.32:3638.32:3638.32 -IOPATH D[9] O[28] 3401.93:3401.93:3401.93 3558.54:3558.54:3558.54 -IOPATH D[9] O[29] 3487.62:3487.62:3487.62 3649.33:3649.33:3649.33 -IOPATH D[9] O[30] 3554.75:3554.75:3554.75 3686.73:3686.73:3686.73 -IOPATH D[9] O[31] 3604.81:3604.81:3604.81 3694.99:3694.99:3694.99 -IOPATH D[10] ACCUMCO 2103.61:2103.61:2103.61 2211.48:2211.48:2211.48 -IOPATH D[10] CO 2676.01:2676.01:2676.01 2757.47:2757.47:2757.47 -IOPATH D[10] O[10] 1677.73:1677.73:1677.73 1846.51:1846.51:1846.51 -IOPATH D[10] O[11] 1928.32:1928.32:1928.32 2090.64:2090.64:2090.64 -IOPATH D[10] O[12] 2077.12:2077.12:2077.12 2230.47:2230.47:2230.47 -IOPATH D[10] O[13] 2189.16:2189.16:2189.16 2350.1:2350.1:2350.1 -IOPATH D[10] O[14] 2511.54:2511.54:2511.54 2690.38:2690.38:2690.38 -IOPATH D[10] O[15] 2423.26:2423.26:2423.26 2616.63:2616.63:2616.63 -IOPATH D[10] O[16] 2804.28:2804.28:2804.28 3041.01:3041.01:3041.01 -IOPATH D[10] O[17] 2893.39:2893.39:2893.39 3108.44:3108.44:3108.44 -IOPATH D[10] O[18] 3014.16:3014.16:3014.16 3264.62:3264.62:3264.62 -IOPATH D[10] O[19] 3184.7:3184.7:3184.7 3380.19:3380.19:3380.19 -IOPATH D[10] O[20] 3070.26:3070.26:3070.26 3241.44:3241.44:3241.44 -IOPATH D[10] O[21] 3006.36:3006.36:3006.36 3171.88:3171.88:3171.88 -IOPATH D[10] O[22] 3172.16:3172.16:3172.16 3378.09:3378.09:3378.09 -IOPATH D[10] O[23] 3232.27:3232.27:3232.27 3409.18:3409.18:3409.18 -IOPATH D[10] O[24] 3149.51:3149.51:3149.51 3306.97:3306.97:3306.97 -IOPATH D[10] O[25] 3260.76:3260.76:3260.76 3443.16:3443.16:3443.16 -IOPATH D[10] O[26] 3423.26:3423.26:3423.26 3624.83:3624.83:3624.83 -IOPATH D[10] O[27] 3416.37:3416.37:3416.37 3580.84:3580.84:3580.84 -IOPATH D[10] O[28] 3344.45:3344.45:3344.45 3501.06:3501.06:3501.06 -IOPATH D[10] O[29] 3430.14:3430.14:3430.14 3591.85:3591.85:3591.85 -IOPATH D[10] O[30] 3497.28:3497.28:3497.28 3629.26:3629.26:3629.26 -IOPATH D[10] O[31] 3547.33:3547.33:3547.33 3637.51:3637.51:3637.51 -IOPATH D[11] ACCUMCO 2070.25:2070.25:2070.25 2185.39:2185.39:2185.39 -IOPATH D[11] CO 2642.65:2642.65:2642.65 2731.38:2731.38:2731.38 -IOPATH D[11] O[11] 1794.64:1794.64:1794.64 1965.4:1965.4:1965.4 -IOPATH D[11] O[12] 2030.58:2030.58:2030.58 2183.91:2183.91:2183.91 -IOPATH D[11] O[13] 2142.6:2142.6:2142.6 2303.54:2303.54:2303.54 -IOPATH D[11] O[14] 2464.98:2464.98:2464.98 2643.82:2643.82:2643.82 -IOPATH D[11] O[15] 2376.71:2376.71:2376.71 2570.08:2570.08:2570.08 -IOPATH D[11] O[16] 2770.92:2770.92:2770.92 3009.32:3009.32:3009.32 -IOPATH D[11] O[17] 2860.03:2860.03:2860.03 3082.35:3082.35:3082.35 -IOPATH D[11] O[18] 2988.07:2988.07:2988.07 3238.53:3238.53:3238.53 -IOPATH D[11] O[19] 3158.61:3158.61:3158.61 3354.1:3354.1:3354.1 -IOPATH D[11] O[20] 3044.17:3044.17:3044.17 3215.35:3215.35:3215.35 -IOPATH D[11] O[21] 2980.28:2980.28:2980.28 3145.79:3145.79:3145.79 -IOPATH D[11] O[22] 3146.07:3146.07:3146.07 3352:3352:3352 -IOPATH D[11] O[23] 3206.18:3206.18:3206.18 3383.09:3383.09:3383.09 -IOPATH D[11] O[24] 3123.42:3123.42:3123.42 3280.88:3280.88:3280.88 -IOPATH D[11] O[25] 3234.67:3234.67:3234.67 3417.07:3417.07:3417.07 -IOPATH D[11] O[26] 3397.17:3397.17:3397.17 3598.74:3598.74:3598.74 -IOPATH D[11] O[27] 3390.28:3390.28:3390.28 3554.75:3554.75:3554.75 -IOPATH D[11] O[28] 3318.36:3318.36:3318.36 3474.98:3474.98:3474.98 -IOPATH D[11] O[29] 3404.05:3404.05:3404.05 3565.76:3565.76:3565.76 -IOPATH D[11] O[30] 3471.19:3471.19:3471.19 3603.17:3603.17:3603.17 -IOPATH D[11] O[31] 3521.24:3521.24:3521.24 3611.42:3611.42:3611.42 -IOPATH D[12] ACCUMCO 2082.88:2082.88:2082.88 2230:2230:2230 -IOPATH D[12] CO 2655.28:2655.28:2655.28 2775.99:2775.99:2775.99 -IOPATH D[12] O[12] 1670.18:1670.18:1670.18 1813.88:1813.88:1813.88 -IOPATH D[12] O[13] 1805.73:1805.73:1805.73 1957.92:1957.92:1957.92 -IOPATH D[12] O[14] 2119.36:2119.36:2119.36 2298.2:2298.2:2298.2 -IOPATH D[12] O[15] 2031.09:2031.09:2031.09 2224.45:2224.45:2224.45 -IOPATH D[12] O[16] 2802.3:2802.3:2802.3 3053.93:3053.93:3053.93 -IOPATH D[12] O[17] 2903.1:2903.1:2903.1 3126.96:3126.96:3126.96 -IOPATH D[12] O[18] 3032.68:3032.68:3032.68 3283.14:3283.14:3283.14 -IOPATH D[12] O[19] 3203.21:3203.21:3203.21 3398.7:3398.7:3398.7 -IOPATH D[12] O[20] 3088.78:3088.78:3088.78 3259.96:3259.96:3259.96 -IOPATH D[12] O[21] 3024.88:3024.88:3024.88 3190.4:3190.4:3190.4 -IOPATH D[12] O[22] 3190.68:3190.68:3190.68 3396.61:3396.61:3396.61 -IOPATH D[12] O[23] 3250.78:3250.78:3250.78 3427.7:3427.7:3427.7 -IOPATH D[12] O[24] 3168.03:3168.03:3168.03 3325.48:3325.48:3325.48 -IOPATH D[12] O[25] 3279.28:3279.28:3279.28 3461.68:3461.68:3461.68 -IOPATH D[12] O[26] 3441.78:3441.78:3441.78 3643.34:3643.34:3643.34 -IOPATH D[12] O[27] 3434.89:3434.89:3434.89 3599.36:3599.36:3599.36 -IOPATH D[12] O[28] 3362.97:3362.97:3362.97 3519.58:3519.58:3519.58 -IOPATH D[12] O[29] 3448.66:3448.66:3448.66 3610.36:3610.36:3610.36 -IOPATH D[12] O[30] 3515.79:3515.79:3515.79 3647.78:3647.78:3647.78 -IOPATH D[12] O[31] 3565.85:3565.85:3565.85 3656.03:3656.03:3656.03 -IOPATH D[13] ACCUMCO 2105.81:2105.81:2105.81 2278.97:2278.97:2278.97 -IOPATH D[13] CO 2678.22:2678.22:2678.22 2824.96:2824.96:2824.96 -IOPATH D[13] O[13] 1688.78:1688.78:1688.78 1835.14:1835.14:1835.14 -IOPATH D[13] O[14] 2031.4:2031.4:2031.4 2199.2:2199.2:2199.2 -IOPATH D[13] O[15] 1931.76:1931.76:1931.76 2125.46:2125.46:2125.46 -IOPATH D[13] O[16] 2851.28:2851.28:2851.28 3102.9:3102.9:3102.9 -IOPATH D[13] O[17] 2952.07:2952.07:2952.07 3175.93:3175.93:3175.93 -IOPATH D[13] O[18] 3081.66:3081.66:3081.66 3332.11:3332.11:3332.11 -IOPATH D[13] O[19] 3252.19:3252.19:3252.19 3447.68:3447.68:3447.68 -IOPATH D[13] O[20] 3137.76:3137.76:3137.76 3308.93:3308.93:3308.93 -IOPATH D[13] O[21] 3073.86:3073.86:3073.86 3239.38:3239.38:3239.38 -IOPATH D[13] O[22] 3239.65:3239.65:3239.65 3445.59:3445.59:3445.59 -IOPATH D[13] O[23] 3299.76:3299.76:3299.76 3476.67:3476.67:3476.67 -IOPATH D[13] O[24] 3217:3217:3217 3374.46:3374.46:3374.46 -IOPATH D[13] O[25] 3328.25:3328.25:3328.25 3510.66:3510.66:3510.66 -IOPATH D[13] O[26] 3490.76:3490.76:3490.76 3692.32:3692.32:3692.32 -IOPATH D[13] O[27] 3483.86:3483.86:3483.86 3648.34:3648.34:3648.34 -IOPATH D[13] O[28] 3411.95:3411.95:3411.95 3568.56:3568.56:3568.56 -IOPATH D[13] O[29] 3497.64:3497.64:3497.64 3659.34:3659.34:3659.34 -IOPATH D[13] O[30] 3564.77:3564.77:3564.77 3696.75:3696.75:3696.75 -IOPATH D[13] O[31] 3614.82:3614.82:3614.82 3705.01:3705.01:3705.01 -IOPATH D[14] ACCUMCO 2093.78:2093.78:2093.78 2201.45:2201.45:2201.45 -IOPATH D[14] CO 2666.18:2666.18:2666.18 2747.44:2747.44:2747.44 -IOPATH D[14] O[14] 1882.87:1882.87:1882.87 2047.56:2047.56:2047.56 -IOPATH D[14] O[15] 1818.99:1818.99:1818.99 1998.23:1998.23:1998.23 -IOPATH D[14] O[16] 2794.45:2794.45:2794.45 3031.17:3031.17:3031.17 -IOPATH D[14] O[17] 2883.55:2883.55:2883.55 3098.41:3098.41:3098.41 -IOPATH D[14] O[18] 3004.13:3004.13:3004.13 3254.59:3254.59:3254.59 -IOPATH D[14] O[19] 3174.66:3174.66:3174.66 3370.16:3370.16:3370.16 -IOPATH D[14] O[20] 3060.23:3060.23:3060.23 3231.41:3231.41:3231.41 -IOPATH D[14] O[21] 2996.34:2996.34:2996.34 3161.85:3161.85:3161.85 -IOPATH D[14] O[22] 3162.13:3162.13:3162.13 3368.06:3368.06:3368.06 -IOPATH D[14] O[23] 3222.24:3222.24:3222.24 3399.15:3399.15:3399.15 -IOPATH D[14] O[24] 3139.48:3139.48:3139.48 3296.94:3296.94:3296.94 -IOPATH D[14] O[25] 3250.73:3250.73:3250.73 3433.13:3433.13:3433.13 -IOPATH D[14] O[26] 3413.23:3413.23:3413.23 3614.8:3614.8:3614.8 -IOPATH D[14] O[27] 3406.34:3406.34:3406.34 3570.81:3570.81:3570.81 -IOPATH D[14] O[28] 3334.42:3334.42:3334.42 3491.04:3491.04:3491.04 -IOPATH D[14] O[29] 3420.11:3420.11:3420.11 3581.82:3581.82:3581.82 -IOPATH D[14] O[30] 3487.25:3487.25:3487.25 3619.23:3619.23:3619.23 -IOPATH D[14] O[31] 3537.3:3537.3:3537.3 3627.48:3627.48:3627.48 -IOPATH D[15] ACCUMCO 2085.34:2085.34:2085.34 2166.09:2166.09:2166.09 -IOPATH D[15] CO 2657.74:2657.74:2657.74 2712.08:2712.08:2712.08 -IOPATH D[15] O[15] 1717.29:1717.29:1717.29 1907.24:1907.24:1907.24 -IOPATH D[15] O[16] 2786:2786:2786 3022.73:3022.73:3022.73 -IOPATH D[15] O[17] 2875.11:2875.11:2875.11 3083.47:3083.47:3083.47 -IOPATH D[15] O[18] 2991.32:2991.32:2991.32 3226.28:3226.28:3226.28 -IOPATH D[15] O[19] 3151.67:3151.67:3151.67 3334.79:3334.79:3334.79 -IOPATH D[15] O[20] 3025.27:3025.27:3025.27 3196.05:3196.05:3196.05 -IOPATH D[15] O[21] 2960.97:2960.97:2960.97 3126.49:3126.49:3126.49 -IOPATH D[15] O[22] 3126.77:3126.77:3126.77 3332.7:3332.7:3332.7 -IOPATH D[15] O[23] 3186.87:3186.87:3186.87 3363.79:3363.79:3363.79 -IOPATH D[15] O[24] 3104.12:3104.12:3104.12 3261.57:3261.57:3261.57 -IOPATH D[15] O[25] 3215.37:3215.37:3215.37 3397.77:3397.77:3397.77 -IOPATH D[15] O[26] 3377.87:3377.87:3377.87 3579.44:3579.44:3579.44 -IOPATH D[15] O[27] 3370.98:3370.98:3370.98 3535.45:3535.45:3535.45 -IOPATH D[15] O[28] 3299.06:3299.06:3299.06 3455.67:3455.67:3455.67 -IOPATH D[15] O[29] 3384.75:3384.75:3384.75 3546.46:3546.46:3546.46 -IOPATH D[15] O[30] 3451.88:3451.88:3451.88 3583.86:3583.86:3583.86 -IOPATH D[15] O[31] 3501.94:3501.94:3501.94 3592.12:3592.12:3592.12 -IOPATH OLOADBOT O[0] 926.627:926.627:926.627 998.383:998.383:998.383 -IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426 -IOPATH OLOADBOT O[2] 928.282:928.282:928.282 1027.86:1027.86:1027.86 -IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563 -IOPATH OLOADBOT O[4] 928.594:928.594:928.594 993.458:993.458:993.458 -IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445 -IOPATH OLOADBOT O[6] 975.212:975.212:975.212 1113.44:1113.44:1113.44 -IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956 -IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2 -IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46 -IOPATH OLOADBOT O[10] 1003.94:1003.94:1003.94 1119.36:1119.36:1119.36 -IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72 -IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66 -IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42 -IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74 -IOPATH OLOADBOT O[15] 1025.2:1025.2:1025.2 1189.82:1189.82:1189.82 -IOPATH OLOADTOP O[16] 1121.07:1121.07:1121.07 1297.81:1297.81:1297.81 -IOPATH OLOADTOP O[17] 1058.45:1058.45:1058.45 1310.89:1310.89:1310.89 -IOPATH OLOADTOP O[18] 957.826:957.826:957.826 1194.95:1194.95:1194.95 -IOPATH OLOADTOP O[19] 1066.29:1066.29:1066.29 1215.64:1215.64:1215.64 -IOPATH OLOADTOP O[20] 998.136:998.136:998.136 1122.54:1122.54:1122.54 -IOPATH OLOADTOP O[21] 944.444:944.444:944.444 1139.06:1139.06:1139.06 -IOPATH OLOADTOP O[22] 1066.35:1066.35:1066.35 1197.17:1197.17:1197.17 -IOPATH OLOADTOP O[23] 980.144:980.144:980.144 1188.35:1188.35:1188.35 -IOPATH OLOADTOP O[24] 812.955:812.955:812.955 962.101:962.101:962.101 -IOPATH OLOADTOP O[25] 1029.17:1029.17:1029.17 1181.13:1181.13:1181.13 -IOPATH OLOADTOP O[26] 1030.38:1030.38:1030.38 1261.87:1261.87:1261.87 -IOPATH OLOADTOP O[27] 996.848:996.848:996.848 1087.61:1087.61:1087.61 -IOPATH OLOADTOP O[28] 920.414:920.414:920.414 1109.11:1109.11:1109.11 -IOPATH OLOADTOP O[29] 815.932:815.932:815.932 928.373:928.373:928.373 -IOPATH OLOADTOP O[30] 944.481:944.481:944.481 1047.76:1047.76:1047.76 -IOPATH OLOADTOP O[31] 935.25:935.25:935.25 1030.56:1030.56:1030.56 -IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.93:2654.93:2654.93 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.92:3200.92:3200.92 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.85:2654.85:2654.85 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + +CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 +IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 +IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 +IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 +IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 +IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + +CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + +CELL SB_MAC16_ADS_U_16P16_BYPASS +IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 +IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 +IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 +IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 +IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 +IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 +IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 +IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 +IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 +IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 +IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 +IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 +IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 +IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 +IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 +IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 +IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 +IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 +IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 +IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 +IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 +IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 +IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 +IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 +IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 +IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 +IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 +IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 +IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 +IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 +IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 +IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 +IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 +IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 +IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 +IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 +IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 +IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 +IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 +IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 +IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 +IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 +IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 +IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 +IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 +IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 +IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 +IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 +IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 +IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 +IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 +IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 +IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 +IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 +IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 +IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 +IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 +IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 +IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 +IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 +IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 +IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 +IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 +IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 +IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 +IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 +IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 +IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 +IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 +IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 +IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 +IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 +IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 +IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 +IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 +IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 +IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 +IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 +IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 +IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 +IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 +IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 +IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 +IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 +IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 +IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 +IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 +IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 +IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 +IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 +IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 +IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 +IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 +IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 +IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 +IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 +IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 +IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 +IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 +IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 +IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 +IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 +IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 +IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 +IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 +IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 +IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 +IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 +IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 +IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 +IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 +IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 +IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 +IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 +IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 +IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 +IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 +IOPATH A[8] CO 2138.62:2138.62:2138.62 2366.85:2366.85:2366.85 +IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 +IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 +IOPATH A[8] O[26] 2105.23:2105.23:2105.23 2290.23:2290.23:2290.23 +IOPATH A[8] O[27] 2086.3:2086.3:2086.3 2235.19:2235.19:2235.19 +IOPATH A[8] O[28] 2288.83:2288.83:2288.83 2446.38:2446.38:2446.38 +IOPATH A[8] O[29] 2373.98:2373.98:2373.98 2534.52:2534.52:2534.52 +IOPATH A[8] O[30] 2440.98:2440.98:2440.98 2570.97:2570.97:2570.97 +IOPATH A[8] O[31] 2491.39:2491.39:2491.39 2581.51:2581.51:2581.51 +IOPATH A[9] ACCUMCO 1685.72:1685.72:1685.72 1937.96:1937.96:1937.96 +IOPATH A[9] CO 2258.12:2258.12:2258.12 2483.94:2483.94:2483.94 +IOPATH A[9] O[25] 1936.15:1936.15:1936.15 2103.39:2103.39:2103.39 +IOPATH A[9] O[26] 2123.3:2123.3:2123.3 2308.31:2308.31:2308.31 +IOPATH A[9] O[27] 2104.37:2104.37:2104.37 2253.26:2253.26:2253.26 +IOPATH A[9] O[28] 2405.93:2405.93:2405.93 2563.48:2563.48:2563.48 +IOPATH A[9] O[29] 2491.08:2491.08:2491.08 2651.61:2651.61:2651.61 +IOPATH A[9] O[30] 2558.07:2558.07:2558.07 2688.06:2688.06:2688.06 +IOPATH A[9] O[31] 2608.48:2608.48:2608.48 2698.6:2698.6:2698.6 +IOPATH A[10] ACCUMCO 1570.75:1570.75:1570.75 1726.27:1726.27:1726.27 +IOPATH A[10] CO 2143.15:2143.15:2143.15 2272.26:2272.26:2272.26 +IOPATH A[10] O[26] 1876.91:1876.91:1876.91 2061.92:2061.92:2061.92 +IOPATH A[10] O[27] 1893.72:1893.72:1893.72 2042.61:2042.61:2042.61 +IOPATH A[10] O[28] 2184.69:2184.69:2184.69 2342.39:2342.39:2342.39 +IOPATH A[10] O[29] 2269.98:2269.98:2269.98 2430.52:2430.52:2430.52 +IOPATH A[10] O[30] 2336.98:2336.98:2336.98 2466.97:2466.97:2466.97 +IOPATH A[10] O[31] 2387.39:2387.39:2387.39 2477.51:2477.51:2477.51 +IOPATH A[11] ACCUMCO 1426.27:1426.27:1426.27 1589.66:1589.66:1589.66 +IOPATH A[11] CO 1998.68:1998.68:1998.68 2135.65:2135.65:2135.65 +IOPATH A[11] O[27] 1652.87:1652.87:1652.87 1801.77:1801.77:1801.77 +IOPATH A[11] O[28] 2019.2:2019.2:2019.2 2174.11:2174.11:2174.11 +IOPATH A[11] O[29] 2101.7:2101.7:2101.7 2262.24:2262.24:2262.24 +IOPATH A[11] O[30] 2168.7:2168.7:2168.7 2298.69:2298.69:2298.69 +IOPATH A[11] O[31] 2219.11:2219.11:2219.11 2309.23:2309.23:2309.23 +IOPATH A[12] ACCUMCO 1290.19:1290.19:1290.19 1617.79:1617.79:1617.79 +IOPATH A[12] CO 1862.6:1862.6:1862.6 2163.78:2163.78:2163.78 +IOPATH A[12] O[28] 1660.43:1660.43:1660.43 1802.15:1802.15:1802.15 +IOPATH A[12] O[29] 1769.09:1769.09:1769.09 1913.37:1913.37:1913.37 +IOPATH A[12] O[30] 1822.99:1822.99:1822.99 1941.49:1941.49:1941.49 +IOPATH A[12] O[31] 1861.91:1861.91:1861.91 1952.71:1952.71:1952.71 +IOPATH A[13] ACCUMCO 1374.04:1374.04:1374.04 1645.15:1645.15:1645.15 +IOPATH A[13] CO 1946.44:1946.44:1946.44 2191.14:2191.14:2191.14 +IOPATH A[13] O[29] 1693.22:1693.22:1693.22 1837.49:1837.49:1837.49 +IOPATH A[13] O[30] 1783.13:1783.13:1783.13 1899.84:1899.84:1899.84 +IOPATH A[13] O[31] 1828.64:1828.64:1828.64 1912.84:1912.84:1912.84 +IOPATH A[14] ACCUMCO 1417.36:1417.36:1417.36 1630.6:1630.6:1630.6 +IOPATH A[14] CO 1989.77:1989.77:1989.77 2176.59:2176.59:2176.59 +IOPATH A[14] O[30] 1722.21:1722.21:1722.21 1838.92:1838.92:1838.92 +IOPATH A[14] O[31] 1803.31:1803.31:1803.31 1887.52:1887.52:1887.52 +IOPATH A[15] ACCUMCO 1495.99:1495.99:1495.99 1787.14:1787.14:1787.14 +IOPATH A[15] CO 2068.4:2068.4:2068.4 2333.13:2333.13:2333.13 +IOPATH A[15] O[31] 1831.86:1831.86:1831.86 1893.08:1893.08:1893.08 +IOPATH A[15] SIGNEXTOUT 864.788:864.788:864.788 824.954:824.954:824.954 +IOPATH ADDSUBBOT O[0] 1453:1453:1453 1570.62:1570.62:1570.62 +IOPATH ADDSUBBOT O[1] 1442.73:1442.73:1442.73 1552:1552:1552 +IOPATH ADDSUBBOT O[2] 1662.2:1662.2:1662.2 1778.14:1778.14:1778.14 +IOPATH ADDSUBBOT O[3] 1783.28:1783.28:1783.28 1904.89:1904.89:1904.89 +IOPATH ADDSUBBOT O[4] 2072.2:2072.2:2072.2 2199.06:2199.06:2199.06 +IOPATH ADDSUBBOT O[5] 2196.37:2196.37:2196.37 2343.08:2343.08:2343.08 +IOPATH ADDSUBBOT O[6] 2337.61:2337.61:2337.61 2495.06:2495.06:2495.06 +IOPATH ADDSUBBOT O[7] 2395.68:2395.68:2395.68 2544:2544:2544 +IOPATH ADDSUBBOT O[8] 2385.31:2385.31:2385.31 2565.64:2565.64:2565.64 +IOPATH ADDSUBBOT O[9] 2515:2515:2515 2715.1:2715.1:2715.1 +IOPATH ADDSUBBOT O[10] 2581.01:2581.01:2581.01 2761.06:2761.06:2761.06 +IOPATH ADDSUBBOT O[11] 2807.41:2807.41:2807.41 2981.79:2981.79:2981.79 +IOPATH ADDSUBBOT O[12] 2602.38:2602.38:2602.38 2755.76:2755.76:2755.76 +IOPATH ADDSUBBOT O[13] 2714.43:2714.43:2714.43 2875.37:2875.37:2875.37 +IOPATH ADDSUBBOT O[14] 3039.39:3039.39:3039.39 3218.56:3218.56:3218.56 +IOPATH ADDSUBBOT O[15] 2950.22:2950.22:2950.22 3143.59:3143.59:3143.59 +IOPATH ADDSUBTOP ACCUMCO 1599.62:1599.62:1599.62 1888.31:1888.31:1888.31 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH ADDSUBTOP O[16] 1805.18:1805.18:1805.18 2041.03:2041.03:2041.03 +IOPATH ADDSUBTOP O[17] 1843.44:1843.44:1843.44 2059.19:2059.19:2059.19 +IOPATH ADDSUBTOP O[18] 1995.15:1995.15:1995.15 2239.82:2239.82:2239.82 +IOPATH ADDSUBTOP O[19] 2167.9:2167.9:2167.9 2358.95:2358.95:2358.95 +IOPATH ADDSUBTOP O[20] 2368.24:2368.24:2368.24 2539.41:2539.41:2539.41 +IOPATH ADDSUBTOP O[21] 2304.32:2304.32:2304.32 2469.85:2469.85:2469.85 +IOPATH ADDSUBTOP O[22] 2470.07:2470.07:2470.07 2676.01:2676.01:2676.01 +IOPATH ADDSUBTOP O[23] 2530.2:2530.2:2530.2 2707.11:2707.11:2707.11 +IOPATH ADDSUBTOP O[24] 2447.48:2447.48:2447.48 2604.91:2604.91:2604.91 +IOPATH ADDSUBTOP O[25] 2560.42:2560.42:2560.42 2743.38:2743.38:2743.38 +IOPATH ADDSUBTOP O[26] 2723.3:2723.3:2723.3 2923.59:2923.59:2923.59 +IOPATH ADDSUBTOP O[27] 2714.27:2714.27:2714.27 2878.72:2878.72:2878.72 +IOPATH ADDSUBTOP O[28] 2645.07:2645.07:2645.07 2802.63:2802.63:2802.63 +IOPATH ADDSUBTOP O[29] 2730.22:2730.22:2730.22 2890.76:2890.76:2890.76 +IOPATH ADDSUBTOP O[30] 2797.22:2797.22:2797.22 2927.21:2927.21:2927.21 +IOPATH ADDSUBTOP O[31] 2847.63:2847.63:2847.63 2937.75:2937.75:2937.75 +IOPATH B[0] O[0] 1603.94:1603.94:1603.94 1721.56:1721.56:1721.56 +IOPATH B[0] O[1] 1606.3:1606.3:1606.3 1715.54:1715.54:1715.54 +IOPATH B[0] O[2] 1733.6:1733.6:1733.6 1858.91:1858.91:1858.91 +IOPATH B[0] O[3] 1829.96:1829.96:1829.96 1961.16:1961.16:1961.16 +IOPATH B[0] O[4] 2084.94:2084.94:2084.94 2211.8:2211.8:2211.8 +IOPATH B[0] O[5] 2209.11:2209.11:2209.11 2355.82:2355.82:2355.82 +IOPATH B[0] O[6] 2350.34:2350.34:2350.34 2507.8:2507.8:2507.8 +IOPATH B[0] O[7] 2408.41:2408.41:2408.41 2556.74:2556.74:2556.74 +IOPATH B[0] O[8] 2398.05:2398.05:2398.05 2578.38:2578.38:2578.38 +IOPATH B[0] O[9] 2527.74:2527.74:2527.74 2727.83:2727.83:2727.83 +IOPATH B[0] O[10] 2593.74:2593.74:2593.74 2773.8:2773.8:2773.8 +IOPATH B[0] O[11] 2820.15:2820.15:2820.15 2994.53:2994.53:2994.53 +IOPATH B[0] O[12] 2615.12:2615.12:2615.12 2768.5:2768.5:2768.5 +IOPATH B[0] O[13] 2727.17:2727.17:2727.17 2888.11:2888.11:2888.11 +IOPATH B[0] O[14] 3052.13:3052.13:3052.13 3231.3:3231.3:3231.3 +IOPATH B[0] O[15] 2962.96:2962.96:2962.96 3156.33:3156.33:3156.33 +IOPATH B[1] O[1] 1624.6:1624.6:1624.6 1759.06:1759.06:1759.06 +IOPATH B[1] O[2] 1801.71:1801.71:1801.71 1927.02:1927.02:1927.02 +IOPATH B[1] O[3] 1898.06:1898.06:1898.06 2029.27:2029.27:2029.27 +IOPATH B[1] O[4] 2151.53:2151.53:2151.53 2278.39:2278.39:2278.39 +IOPATH B[1] O[5] 2275.7:2275.7:2275.7 2422.41:2422.41:2422.41 +IOPATH B[1] O[6] 2416.93:2416.93:2416.93 2574.38:2574.38:2574.38 +IOPATH B[1] O[7] 2475:2475:2475 2623.33:2623.33:2623.33 +IOPATH B[1] O[8] 2464.64:2464.64:2464.64 2644.97:2644.97:2644.97 +IOPATH B[1] O[9] 2594.33:2594.33:2594.33 2794.42:2794.42:2794.42 +IOPATH B[1] O[10] 2660.33:2660.33:2660.33 2840.39:2840.39:2840.39 +IOPATH B[1] O[11] 2886.74:2886.74:2886.74 3061.12:3061.12:3061.12 +IOPATH B[1] O[12] 2681.71:2681.71:2681.71 2835.08:2835.08:2835.08 +IOPATH B[1] O[13] 2793.76:2793.76:2793.76 2954.7:2954.7:2954.7 +IOPATH B[1] O[14] 3118.72:3118.72:3118.72 3297.89:3297.89:3297.89 +IOPATH B[1] O[15] 3029.55:3029.55:3029.55 3222.92:3222.92:3222.92 +IOPATH B[2] O[2] 1671.3:1671.3:1671.3 1822.61:1822.61:1822.61 +IOPATH B[2] O[3] 1818.17:1818.17:1818.17 1949.37:1949.37:1949.37 +IOPATH B[2] O[4] 2116.66:2116.66:2116.66 2243.53:2243.53:2243.53 +IOPATH B[2] O[5] 2240.83:2240.83:2240.83 2387.54:2387.54:2387.54 +IOPATH B[2] O[6] 2382.07:2382.07:2382.07 2539.52:2539.52:2539.52 +IOPATH B[2] O[7] 2440.14:2440.14:2440.14 2588.47:2588.47:2588.47 +IOPATH B[2] O[8] 2429.78:2429.78:2429.78 2610.1:2610.1:2610.1 +IOPATH B[2] O[9] 2559.47:2559.47:2559.47 2759.56:2759.56:2759.56 +IOPATH B[2] O[10] 2625.47:2625.47:2625.47 2805.53:2805.53:2805.53 +IOPATH B[2] O[11] 2851.88:2851.88:2851.88 3026.25:3026.25:3026.25 +IOPATH B[2] O[12] 2646.85:2646.85:2646.85 2800.22:2800.22:2800.22 +IOPATH B[2] O[13] 2758.9:2758.9:2758.9 2919.84:2919.84:2919.84 +IOPATH B[2] O[14] 3083.86:3083.86:3083.86 3263.03:3263.03:3263.03 +IOPATH B[2] O[15] 2994.69:2994.69:2994.69 3188.05:3188.05:3188.05 +IOPATH B[3] O[3] 1604.07:1604.07:1604.07 1759.87:1759.87:1759.87 +IOPATH B[3] O[4] 2005.81:2005.81:2005.81 2132.67:2132.67:2132.67 +IOPATH B[3] O[5] 2129.98:2129.98:2129.98 2276.69:2276.69:2276.69 +IOPATH B[3] O[6] 2271.21:2271.21:2271.21 2428.66:2428.66:2428.66 +IOPATH B[3] O[7] 2329.28:2329.28:2329.28 2477.61:2477.61:2477.61 +IOPATH B[3] O[8] 2318.92:2318.92:2318.92 2499.25:2499.25:2499.25 +IOPATH B[3] O[9] 2448.61:2448.61:2448.61 2648.7:2648.7:2648.7 +IOPATH B[3] O[10] 2514.61:2514.61:2514.61 2694.67:2694.67:2694.67 +IOPATH B[3] O[11] 2741.02:2741.02:2741.02 2915.4:2915.4:2915.4 +IOPATH B[3] O[12] 2535.99:2535.99:2535.99 2689.36:2689.36:2689.36 +IOPATH B[3] O[13] 2648.04:2648.04:2648.04 2808.98:2808.98:2808.98 +IOPATH B[3] O[14] 2973:2973:2973 3152.17:3152.17:3152.17 +IOPATH B[3] O[15] 2883.83:2883.83:2883.83 3077.2:3077.2:3077.2 +IOPATH B[4] O[4] 1703.15:1703.15:1703.15 1855.18:1855.18:1855.18 +IOPATH B[4] O[5] 1877.41:1877.41:1877.41 2024.12:2024.12:2024.12 +IOPATH B[4] O[6] 2018.65:2018.65:2018.65 2176.1:2176.1:2176.1 +IOPATH B[4] O[7] 2076.72:2076.72:2076.72 2225.04:2225.04:2225.04 +IOPATH B[4] O[8] 2243.49:2243.49:2243.49 2423.81:2423.81:2423.81 +IOPATH B[4] O[9] 2373.17:2373.17:2373.17 2573.26:2573.26:2573.26 +IOPATH B[4] O[10] 2439.17:2439.17:2439.17 2619.23:2619.23:2619.23 +IOPATH B[4] O[11] 2665.58:2665.58:2665.58 2839.96:2839.96:2839.96 +IOPATH B[4] O[12] 2460.51:2460.51:2460.51 2613.89:2613.89:2613.89 +IOPATH B[4] O[13] 2572.56:2572.56:2572.56 2733.5:2733.5:2733.5 +IOPATH B[4] O[14] 2897.52:2897.52:2897.52 3076.69:3076.69:3076.69 +IOPATH B[4] O[15] 2808.35:2808.35:2808.35 3001.72:3001.72:3001.72 +IOPATH B[5] O[5] 1714.18:1714.18:1714.18 1887.16:1887.16:1887.16 +IOPATH B[5] O[6] 1906.2:1906.2:1906.2 2063.65:2063.65:2063.65 +IOPATH B[5] O[7] 1964.27:1964.27:1964.27 2112.6:2112.6:2112.6 +IOPATH B[5] O[8] 2301:2301:2301 2481.32:2481.32:2481.32 +IOPATH B[5] O[9] 2430.68:2430.68:2430.68 2630.77:2630.77:2630.77 +IOPATH B[5] O[10] 2496.68:2496.68:2496.68 2676.74:2676.74:2676.74 +IOPATH B[5] O[11] 2723.09:2723.09:2723.09 2897.46:2897.46:2897.46 +IOPATH B[5] O[12] 2518.02:2518.02:2518.02 2671.4:2671.4:2671.4 +IOPATH B[5] O[13] 2630.07:2630.07:2630.07 2791.01:2791.01:2791.01 +IOPATH B[5] O[14] 2955.03:2955.03:2955.03 3134.2:3134.2:3134.2 +IOPATH B[5] O[15] 2865.86:2865.86:2865.86 3059.23:3059.23:3059.23 +IOPATH B[6] O[6] 1748.02:1748.02:1748.02 1931.53:1931.53:1931.53 +IOPATH B[6] O[7] 1856.64:1856.64:1856.64 2004.97:2004.97:2004.97 +IOPATH B[6] O[8] 2230.05:2230.05:2230.05 2410.37:2410.37:2410.37 +IOPATH B[6] O[9] 2359.73:2359.73:2359.73 2559.82:2559.82:2559.82 +IOPATH B[6] O[10] 2425.74:2425.74:2425.74 2605.79:2605.79:2605.79 +IOPATH B[6] O[11] 2652.14:2652.14:2652.14 2826.52:2826.52:2826.52 +IOPATH B[6] O[12] 2447.08:2447.08:2447.08 2600.45:2600.45:2600.45 +IOPATH B[6] O[13] 2559.13:2559.13:2559.13 2720.06:2720.06:2720.06 +IOPATH B[6] O[14] 2884.09:2884.09:2884.09 3063.26:3063.26:3063.26 +IOPATH B[6] O[15] 2794.92:2794.92:2794.92 2988.28:2988.28:2988.28 +IOPATH B[7] O[7] 1683.68:1683.68:1683.68 1856.36:1856.36:1856.36 +IOPATH B[7] O[8] 2144.55:2144.55:2144.55 2324.87:2324.87:2324.87 +IOPATH B[7] O[9] 2274.23:2274.23:2274.23 2474.32:2474.32:2474.32 +IOPATH B[7] O[10] 2340.23:2340.23:2340.23 2520.29:2520.29:2520.29 +IOPATH B[7] O[11] 2566.64:2566.64:2566.64 2741.02:2741.02:2741.02 +IOPATH B[7] O[12] 2361.57:2361.57:2361.57 2514.94:2514.94:2514.94 +IOPATH B[7] O[13] 2473.62:2473.62:2473.62 2634.56:2634.56:2634.56 +IOPATH B[7] O[14] 2798.58:2798.58:2798.58 2977.75:2977.75:2977.75 +IOPATH B[7] O[15] 2709.41:2709.41:2709.41 2902.78:2902.78:2902.78 +IOPATH B[8] O[8] 1671.5:1671.5:1671.5 1844.09:1844.09:1844.09 +IOPATH B[8] O[9] 1825.3:1825.3:1825.3 2018.23:2018.23:2018.23 +IOPATH B[8] O[10] 1884.14:1884.14:1884.14 2064.2:2064.2:2064.2 +IOPATH B[8] O[11] 2110.55:2110.55:2110.55 2284.93:2284.93:2284.93 +IOPATH B[8] O[12] 2138.04:2138.04:2138.04 2291.31:2291.31:2291.31 +IOPATH B[8] O[13] 2250:2250:2250 2410.94:2410.94:2410.94 +IOPATH B[8] O[14] 2574.96:2574.96:2574.96 2754.13:2754.13:2754.13 +IOPATH B[8] O[15] 2485.79:2485.79:2485.79 2679.15:2679.15:2679.15 +IOPATH B[9] O[9] 1789.81:1789.81:1789.81 1973.74:1973.74:1973.74 +IOPATH B[9] O[10] 1879.05:1879.05:1879.05 2043.83:2043.83:2043.83 +IOPATH B[9] O[11] 2093.51:2093.51:2093.51 2250.18:2250.18:2250.18 +IOPATH B[9] O[12] 2189.76:2189.76:2189.76 2343.04:2343.04:2343.04 +IOPATH B[9] O[13] 2301.72:2301.72:2301.72 2462.66:2462.66:2462.66 +IOPATH B[9] O[14] 2626.68:2626.68:2626.68 2805.86:2805.86:2805.86 +IOPATH B[9] O[15] 2537.52:2537.52:2537.52 2730.88:2730.88:2730.88 +IOPATH B[10] O[10] 1704.13:1704.13:1704.13 1886.27:1886.27:1886.27 +IOPATH B[10] O[11] 1957.26:1957.26:1957.26 2131.63:2131.63:2131.63 +IOPATH B[10] O[12] 2118.23:2118.23:2118.23 2271.51:2271.51:2271.51 +IOPATH B[10] O[13] 2230.19:2230.19:2230.19 2391.13:2391.13:2391.13 +IOPATH B[10] O[14] 2555.15:2555.15:2555.15 2734.32:2734.32:2734.32 +IOPATH B[10] O[15] 2465.98:2465.98:2465.98 2659.35:2659.35:2659.35 +IOPATH B[11] O[11] 1953.7:1953.7:1953.7 2110.38:2110.38:2110.38 +IOPATH B[11] O[12] 2188.36:2188.36:2188.36 2326.76:2326.76:2326.76 +IOPATH B[11] O[13] 2286.82:2286.82:2286.82 2433.22:2433.22:2433.22 +IOPATH B[11] O[14] 2597.15:2597.15:2597.15 2776.41:2776.41:2776.41 +IOPATH B[11] O[15] 2508.07:2508.07:2508.07 2701.44:2701.44:2701.44 +IOPATH B[12] O[12] 1849.2:1849.2:1849.2 1987.6:1987.6:1987.6 +IOPATH B[12] O[13] 1984.24:1984.24:1984.24 2129.29:2129.29:2129.29 +IOPATH B[12] O[14] 2294.58:2294.58:2294.58 2458.88:2458.88:2458.88 +IOPATH B[12] O[15] 2192.33:2192.33:2192.33 2373.47:2373.47:2373.47 +IOPATH B[13] O[13] 1720.64:1720.64:1720.64 1877.4:1877.4:1877.4 +IOPATH B[13] O[14] 2065.25:2065.25:2065.25 2244.42:2244.42:2244.42 +IOPATH B[13] O[15] 1976.08:1976.08:1976.08 2169.44:2169.44:2169.44 +IOPATH B[14] O[14] 1893.12:1893.12:1893.12 2057.42:2057.42:2057.42 +IOPATH B[14] O[15] 1826.54:1826.54:1826.54 2002.41:2002.41:2002.41 +IOPATH B[15] O[15] 1981.1:1981.1:1981.1 2199.56:2199.56:2199.56 +IOPATH C[0] ACCUMCO 1412.28:1412.28:1412.28 1806.67:1806.67:1806.67 +IOPATH C[0] CO 1984.68:1984.68:1984.68 2352.66:2352.66:2352.66 +IOPATH C[0] O[16] 1756.79:1756.79:1756.79 1992.64:1992.64:1992.64 +IOPATH C[0] O[17] 1787.09:1787.09:1787.09 2010.8:2010.8:2010.8 +IOPATH C[0] O[18] 1918.05:1918.05:1918.05 2168.5:2168.5:2168.5 +IOPATH C[0] O[19] 2096.58:2096.58:2096.58 2287.63:2287.63:2287.63 +IOPATH C[0] O[20] 2286.6:2286.6:2286.6 2457.78:2457.78:2457.78 +IOPATH C[0] O[21] 2222.68:2222.68:2222.68 2388.21:2388.21:2388.21 +IOPATH C[0] O[22] 2388.43:2388.43:2388.43 2594.37:2594.37:2594.37 +IOPATH C[0] O[23] 2448.56:2448.56:2448.56 2625.47:2625.47:2625.47 +IOPATH C[0] O[24] 2365.84:2365.84:2365.84 2523.27:2523.27:2523.27 +IOPATH C[0] O[25] 2478.78:2478.78:2478.78 2661.74:2661.74:2661.74 +IOPATH C[0] O[26] 2641.66:2641.66:2641.66 2841.95:2841.95:2841.95 +IOPATH C[0] O[27] 2632.63:2632.63:2632.63 2797.08:2797.08:2797.08 +IOPATH C[0] O[28] 2563.43:2563.43:2563.43 2720.99:2720.99:2720.99 +IOPATH C[0] O[29] 2648.58:2648.58:2648.58 2809.12:2809.12:2809.12 +IOPATH C[0] O[30] 2715.58:2715.58:2715.58 2845.57:2845.57:2845.57 +IOPATH C[0] O[31] 2765.99:2765.99:2765.99 2856.11:2856.11:2856.11 +IOPATH C[1] ACCUMCO 1595.69:1595.69:1595.69 1884.38:1884.38:1884.38 +IOPATH C[1] CO 2168.09:2168.09:2168.09 2430.37:2430.37:2430.37 +IOPATH C[1] O[17] 1839.51:1839.51:1839.51 2053.37:2053.37:2053.37 +IOPATH C[1] O[18] 1991.22:1991.22:1991.22 2235.89:2235.89:2235.89 +IOPATH C[1] O[19] 2163.96:2163.96:2163.96 2355.01:2355.01:2355.01 +IOPATH C[1] O[20] 2364.31:2364.31:2364.31 2535.48:2535.48:2535.48 +IOPATH C[1] O[21] 2300.39:2300.39:2300.39 2465.92:2465.92:2465.92 +IOPATH C[1] O[22] 2466.14:2466.14:2466.14 2672.08:2672.08:2672.08 +IOPATH C[1] O[23] 2526.27:2526.27:2526.27 2703.18:2703.18:2703.18 +IOPATH C[1] O[24] 2443.55:2443.55:2443.55 2600.98:2600.98:2600.98 +IOPATH C[1] O[25] 2556.49:2556.49:2556.49 2739.45:2739.45:2739.45 +IOPATH C[1] O[26] 2719.37:2719.37:2719.37 2919.66:2919.66:2919.66 +IOPATH C[1] O[27] 2710.34:2710.34:2710.34 2874.79:2874.79:2874.79 +IOPATH C[1] O[28] 2641.14:2641.14:2641.14 2798.69:2798.69:2798.69 +IOPATH C[1] O[29] 2726.29:2726.29:2726.29 2886.83:2886.83:2886.83 +IOPATH C[1] O[30] 2793.29:2793.29:2793.29 2923.28:2923.28:2923.28 +IOPATH C[1] O[31] 2843.7:2843.7:2843.7 2933.82:2933.82:2933.82 +IOPATH C[2] ACCUMCO 1536.42:1536.42:1536.42 1780.98:1780.98:1780.98 +IOPATH C[2] CO 2108.82:2108.82:2108.82 2326.97:2326.97:2326.97 +IOPATH C[2] O[18] 1820.77:1820.77:1820.77 2058.4:2058.4:2058.4 +IOPATH C[2] O[19] 2023.62:2023.62:2023.62 2201.63:2201.63:2201.63 +IOPATH C[2] O[20] 2260.91:2260.91:2260.91 2432.08:2432.08:2432.08 +IOPATH C[2] O[21] 2196.98:2196.98:2196.98 2362.51:2362.51:2362.51 +IOPATH C[2] O[22] 2362.74:2362.74:2362.74 2568.67:2568.67:2568.67 +IOPATH C[2] O[23] 2422.86:2422.86:2422.86 2599.77:2599.77:2599.77 +IOPATH C[2] O[24] 2340.15:2340.15:2340.15 2497.58:2497.58:2497.58 +IOPATH C[2] O[25] 2453.08:2453.08:2453.08 2636.04:2636.04:2636.04 +IOPATH C[2] O[26] 2615.97:2615.97:2615.97 2816.25:2816.25:2816.25 +IOPATH C[2] O[27] 2606.93:2606.93:2606.93 2771.39:2771.39:2771.39 +IOPATH C[2] O[28] 2537.73:2537.73:2537.73 2695.29:2695.29:2695.29 +IOPATH C[2] O[29] 2622.89:2622.89:2622.89 2783.43:2783.43:2783.43 +IOPATH C[2] O[30] 2689.88:2689.88:2689.88 2819.87:2819.87:2819.87 +IOPATH C[2] O[31] 2740.29:2740.29:2740.29 2830.41:2830.41:2830.41 +IOPATH C[3] ACCUMCO 1474.57:1474.57:1474.57 1735.56:1735.56:1735.56 +IOPATH C[3] CO 2046.98:2046.98:2046.98 2281.55:2281.55:2281.55 +IOPATH C[3] O[19] 1901.59:1901.59:1901.59 2090.42:2090.42:2090.42 +IOPATH C[3] O[20] 2215.97:2215.97:2215.97 2387.15:2387.15:2387.15 +IOPATH C[3] O[21] 2152.05:2152.05:2152.05 2317.58:2317.58:2317.58 +IOPATH C[3] O[22] 2317.8:2317.8:2317.8 2523.74:2523.74:2523.74 +IOPATH C[3] O[23] 2377.93:2377.93:2377.93 2554.84:2554.84:2554.84 +IOPATH C[3] O[24] 2295.21:2295.21:2295.21 2452.64:2452.64:2452.64 +IOPATH C[3] O[25] 2408.15:2408.15:2408.15 2591.11:2591.11:2591.11 +IOPATH C[3] O[26] 2571.03:2571.03:2571.03 2771.32:2771.32:2771.32 +IOPATH C[3] O[27] 2562:2562:2562 2726.45:2726.45:2726.45 +IOPATH C[3] O[28] 2492.8:2492.8:2492.8 2650.35:2650.35:2650.35 +IOPATH C[3] O[29] 2577.95:2577.95:2577.95 2738.49:2738.49:2738.49 +IOPATH C[3] O[30] 2644.95:2644.95:2644.95 2774.94:2774.94:2774.94 +IOPATH C[3] O[31] 2695.36:2695.36:2695.36 2785.48:2785.48:2785.48 +IOPATH C[4] ACCUMCO 1487.76:1487.76:1487.76 1845.62:1845.62:1845.62 +IOPATH C[4] CO 2060.16:2060.16:2060.16 2391.61:2391.61:2391.61 +IOPATH C[4] O[20] 1870.7:1870.7:1870.7 2032.24:2032.24:2032.24 +IOPATH C[4] O[21] 1832.25:1832.25:1832.25 1987.49:1987.49:1987.49 +IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65 +IOPATH C[4] O[23] 2047.84:2047.84:2047.84 2224.75:2224.75:2224.75 +IOPATH C[4] O[24] 2187.5:2187.5:2187.5 2344.92:2344.92:2344.92 +IOPATH C[4] O[25] 2300.42:2300.42:2300.42 2483.39:2483.39:2483.39 +IOPATH C[4] O[26] 2463.31:2463.31:2463.31 2663.59:2663.59:2663.59 +IOPATH C[4] O[27] 2454.27:2454.27:2454.27 2618.73:2618.73:2618.73 +IOPATH C[4] O[28] 2385.04:2385.04:2385.04 2542.6:2542.6:2542.6 +IOPATH C[4] O[29] 2470.2:2470.2:2470.2 2630.73:2630.73:2630.73 +IOPATH C[4] O[30] 2537.19:2537.19:2537.19 2667.18:2667.18:2667.18 +IOPATH C[4] O[31] 2587.6:2587.6:2587.6 2677.72:2677.72:2677.72 +IOPATH C[5] ACCUMCO 1510.26:1510.26:1510.26 1834.88:1834.88:1834.88 +IOPATH C[5] CO 2082.66:2082.66:2082.66 2380.87:2380.87:2380.87 +IOPATH C[5] O[21] 1684.53:1684.53:1684.53 1839.9:1839.9:1839.9 +IOPATH C[5] O[22] 1872.71:1872.71:1872.71 2070.8:2070.8:2070.8 +IOPATH C[5] O[23] 1924.99:1924.99:1924.99 2101.9:2101.9:2101.9 +IOPATH C[5] O[24] 2176.77:2176.77:2176.77 2334.2:2334.2:2334.2 +IOPATH C[5] O[25] 2289.7:2289.7:2289.7 2472.66:2472.66:2472.66 +IOPATH C[5] O[26] 2452.58:2452.58:2452.58 2652.87:2652.87:2652.87 +IOPATH C[5] O[27] 2443.55:2443.55:2443.55 2608:2608:2608 +IOPATH C[5] O[28] 2374.31:2374.31:2374.31 2531.87:2531.87:2531.87 +IOPATH C[5] O[29] 2459.47:2459.47:2459.47 2620:2620:2620 +IOPATH C[5] O[30] 2526.46:2526.46:2526.46 2656.45:2656.45:2656.45 +IOPATH C[5] O[31] 2576.87:2576.87:2576.87 2666.99:2666.99:2666.99 +IOPATH C[6] ACCUMCO 1504.21:1504.21:1504.21 1786.71:1786.71:1786.71 +IOPATH C[6] CO 2076.61:2076.61:2076.61 2332.69:2332.69:2332.69 +IOPATH C[6] O[22] 1757.48:1757.48:1757.48 1952.53:1952.53:1952.53 +IOPATH C[6] O[23] 1842.03:1842.03:1842.03 2008.05:2008.05:2008.05 +IOPATH C[6] O[24] 2128.59:2128.59:2128.59 2286.02:2286.02:2286.02 +IOPATH C[6] O[25] 2241.52:2241.52:2241.52 2424.48:2424.48:2424.48 +IOPATH C[6] O[26] 2404.4:2404.4:2404.4 2604.69:2604.69:2604.69 +IOPATH C[6] O[27] 2395.37:2395.37:2395.37 2559.82:2559.82:2559.82 +IOPATH C[6] O[28] 2326.13:2326.13:2326.13 2483.69:2483.69:2483.69 +IOPATH C[6] O[29] 2411.29:2411.29:2411.29 2571.82:2571.82:2571.82 +IOPATH C[6] O[30] 2478.28:2478.28:2478.28 2608.27:2608.27:2608.27 +IOPATH C[6] O[31] 2528.69:2528.69:2528.69 2618.81:2618.81:2618.81 +IOPATH C[7] ACCUMCO 1432.38:1432.38:1432.38 1712.07:1712.07:1712.07 +IOPATH C[7] CO 2004.78:2004.78:2004.78 2258.06:2258.06:2258.06 +IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36 +IOPATH C[7] O[24] 2055.16:2055.16:2055.16 2212.58:2212.58:2212.58 +IOPATH C[7] O[25] 2168.08:2168.08:2168.08 2351.04:2351.04:2351.04 +IOPATH C[7] O[26] 2330.97:2330.97:2330.97 2531.25:2531.25:2531.25 +IOPATH C[7] O[27] 2321.93:2321.93:2321.93 2486.38:2486.38:2486.38 +IOPATH C[7] O[28] 2252.69:2252.69:2252.69 2410.25:2410.25:2410.25 +IOPATH C[7] O[29] 2337.85:2337.85:2337.85 2498.38:2498.38:2498.38 +IOPATH C[7] O[30] 2404.84:2404.84:2404.84 2534.83:2534.83:2534.83 +IOPATH C[7] O[31] 2455.25:2455.25:2455.25 2545.37:2545.37:2545.37 +IOPATH C[8] ACCUMCO 1416.85:1416.85:1416.85 1632.52:1632.52:1632.52 +IOPATH C[8] CO 1989.26:1989.26:1989.26 2178.51:2178.51:2178.51 +IOPATH C[8] O[24] 1668.35:1668.35:1668.35 1816.04:1816.04:1816.04 +IOPATH C[8] O[25] 1805.04:1805.04:1805.04 1979.35:1979.35:1979.35 +IOPATH C[8] O[26] 1959.27:1959.27:1959.27 2159.56:2159.56:2159.56 +IOPATH C[8] O[27] 1950.24:1950.24:1950.24 2114.69:2114.69:2114.69 +IOPATH C[8] O[28] 2100.49:2100.49:2100.49 2258.05:2258.05:2258.05 +IOPATH C[8] O[29] 2185.64:2185.64:2185.64 2346.18:2346.18:2346.18 +IOPATH C[8] O[30] 2252.64:2252.64:2252.64 2382.63:2382.63:2382.63 +IOPATH C[8] O[31] 2303.05:2303.05:2303.05 2393.17:2393.17:2393.17 +IOPATH C[9] ACCUMCO 1413.17:1413.17:1413.17 1655.36:1655.36:1655.36 +IOPATH C[9] CO 1985.57:1985.57:1985.57 2201.35:2201.35:2201.35 +IOPATH C[9] O[25] 1663.42:1663.42:1663.42 1838.08:1838.08:1838.08 +IOPATH C[9] O[26] 1850.78:1850.78:1850.78 2043.25:2043.25:2043.25 +IOPATH C[9] O[27] 1833.93:1833.93:1833.93 1998.38:1998.38:1998.38 +IOPATH C[9] O[28] 2123.33:2123.33:2123.33 2280.88:2280.88:2280.88 +IOPATH C[9] O[29] 2208.48:2208.48:2208.48 2369.01:2369.01:2369.01 +IOPATH C[9] O[30] 2275.47:2275.47:2275.47 2405.46:2405.46:2405.46 +IOPATH C[9] O[31] 2325.88:2325.88:2325.88 2416:2416:2416 +IOPATH C[10] ACCUMCO 1439.25:1439.25:1439.25 1625.49:1625.49:1625.49 +IOPATH C[10] CO 2011.66:2011.66:2011.66 2171.47:2171.47:2171.47 +IOPATH C[10] O[26] 1745.33:1745.33:1745.33 1934.52:1934.52:1934.52 +IOPATH C[10] O[27] 1762.22:1762.22:1762.22 1914.07:1914.07:1914.07 +IOPATH C[10] O[28] 2084.01:2084.01:2084.01 2241.57:2241.57:2241.57 +IOPATH C[10] O[29] 2169.16:2169.16:2169.16 2329.7:2329.7:2329.7 +IOPATH C[10] O[30] 2236.16:2236.16:2236.16 2366.15:2366.15:2366.15 +IOPATH C[10] O[31] 2286.57:2286.57:2286.57 2376.69:2376.69:2376.69 +IOPATH C[11] ACCUMCO 1375.29:1375.29:1375.29 1569.95:1569.95:1569.95 +IOPATH C[11] CO 1947.69:1947.69:1947.69 2115.94:2115.94:2115.94 +IOPATH C[11] O[27] 1601.96:1601.96:1601.96 1764.23:1764.23:1764.23 +IOPATH C[11] O[28] 1996.83:1996.83:1996.83 2154.38:2154.38:2154.38 +IOPATH C[11] O[29] 2081.98:2081.98:2081.98 2242.52:2242.52:2242.52 +IOPATH C[11] O[30] 2148.98:2148.98:2148.98 2278.96:2278.96:2278.96 +IOPATH C[11] O[31] 2199.38:2199.38:2199.38 2289.5:2289.5:2289.5 +IOPATH C[12] ACCUMCO 1249.9:1249.9:1249.9 1542.03:1542.03:1542.03 +IOPATH C[12] CO 1822.3:1822.3:1822.3 2088.02:2088.02:2088.02 +IOPATH C[12] O[28] 1619.99:1619.99:1619.99 1773.03:1773.03:1773.03 +IOPATH C[12] O[29] 1728.76:1728.76:1728.76 1886:1886:1886 +IOPATH C[12] O[30] 1792.46:1792.46:1792.46 1922.44:1922.44:1922.44 +IOPATH C[12] O[31] 1842.86:1842.86:1842.86 1932.99:1932.99:1932.99 +IOPATH C[13] ACCUMCO 1340.12:1340.12:1340.12 1622.28:1622.28:1622.28 +IOPATH C[13] CO 1912.52:1912.52:1912.52 2168.27:2168.27:2168.27 +IOPATH C[13] O[29] 1659.3:1659.3:1659.3 1805.2:1805.2:1805.2 +IOPATH C[13] O[30] 1749.29:1749.29:1749.29 1866.4:1866.4:1866.4 +IOPATH C[13] O[31] 1786.82:1786.82:1786.82 1879.01:1879.01:1879.01 +IOPATH C[14] ACCUMCO 1285.8:1285.8:1285.8 1529.3:1529.3:1529.3 +IOPATH C[14] CO 1858.21:1858.21:1858.21 2075.29:2075.29:2075.29 +IOPATH C[14] O[30] 1590.67:1590.67:1590.67 1707.02:1707.02:1707.02 +IOPATH C[14] O[31] 1671.85:1671.85:1671.85 1756.05:1756.05:1756.05 +IOPATH C[15] ACCUMCO 1226.47:1226.47:1226.47 1473.03:1473.03:1473.03 +IOPATH C[15] CO 1798.87:1798.87:1798.87 2019.01:2019.01:2019.01 +IOPATH C[15] O[31] 1512.79:1512.79:1512.79 1605.4:1605.4:1605.4 +IOPATH D[0] O[0] 1535.01:1535.01:1535.01 1652.63:1652.63:1652.63 +IOPATH D[0] O[1] 1524.75:1524.75:1524.75 1634.01:1634.01:1634.01 +IOPATH D[0] O[2] 1652.08:1652.08:1652.08 1777.39:1777.39:1777.39 +IOPATH D[0] O[3] 1748.44:1748.44:1748.44 1879.64:1879.64:1879.64 +IOPATH D[0] O[4] 2003.68:2003.68:2003.68 2130.54:2130.54:2130.54 +IOPATH D[0] O[5] 2127.85:2127.85:2127.85 2274.56:2274.56:2274.56 +IOPATH D[0] O[6] 2269.08:2269.08:2269.08 2426.54:2426.54:2426.54 +IOPATH D[0] O[7] 2327.15:2327.15:2327.15 2475.48:2475.48:2475.48 +IOPATH D[0] O[8] 2316.79:2316.79:2316.79 2497.12:2497.12:2497.12 +IOPATH D[0] O[9] 2446.48:2446.48:2446.48 2646.57:2646.57:2646.57 +IOPATH D[0] O[10] 2512.48:2512.48:2512.48 2692.54:2692.54:2692.54 +IOPATH D[0] O[11] 2738.89:2738.89:2738.89 2913.27:2913.27:2913.27 +IOPATH D[0] O[12] 2533.86:2533.86:2533.86 2687.24:2687.24:2687.24 +IOPATH D[0] O[13] 2645.91:2645.91:2645.91 2806.85:2806.85:2806.85 +IOPATH D[0] O[14] 2970.87:2970.87:2970.87 3150.04:3150.04:3150.04 +IOPATH D[0] O[15] 2881.7:2881.7:2881.7 3075.07:3075.07:3075.07 +IOPATH D[1] O[1] 1577.63:1577.63:1577.63 1677.32:1677.32:1677.32 +IOPATH D[1] O[2] 1727.22:1727.22:1727.22 1845.27:1845.27:1845.27 +IOPATH D[1] O[3] 1816.31:1816.31:1816.31 1947.51:1947.51:1947.51 +IOPATH D[1] O[4] 2069.74:2069.74:2069.74 2196.61:2196.61:2196.61 +IOPATH D[1] O[5] 2193.91:2193.91:2193.91 2340.62:2340.62:2340.62 +IOPATH D[1] O[6] 2335.15:2335.15:2335.15 2492.6:2492.6:2492.6 +IOPATH D[1] O[7] 2393.22:2393.22:2393.22 2541.55:2541.55:2541.55 +IOPATH D[1] O[8] 2382.86:2382.86:2382.86 2563.18:2563.18:2563.18 +IOPATH D[1] O[9] 2512.55:2512.55:2512.55 2712.64:2712.64:2712.64 +IOPATH D[1] O[10] 2578.55:2578.55:2578.55 2758.61:2758.61:2758.61 +IOPATH D[1] O[11] 2804.96:2804.96:2804.96 2979.34:2979.34:2979.34 +IOPATH D[1] O[12] 2599.93:2599.93:2599.93 2753.3:2753.3:2753.3 +IOPATH D[1] O[13] 2711.98:2711.98:2711.98 2872.92:2872.92:2872.92 +IOPATH D[1] O[14] 3036.94:3036.94:3036.94 3216.11:3216.11:3216.11 +IOPATH D[1] O[15] 2947.77:2947.77:2947.77 3141.13:3141.13:3141.13 +IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2 +IOPATH D[2] O[3] 1699.35:1699.35:1699.35 1820.95:1820.95:1820.95 +IOPATH D[2] O[4] 1988.26:1988.26:1988.26 2115.13:2115.13:2115.13 +IOPATH D[2] O[5] 2112.43:2112.43:2112.43 2259.15:2259.15:2259.15 +IOPATH D[2] O[6] 2253.67:2253.67:2253.67 2411.12:2411.12:2411.12 +IOPATH D[2] O[7] 2311.74:2311.74:2311.74 2460.07:2460.07:2460.07 +IOPATH D[2] O[8] 2301.38:2301.38:2301.38 2481.7:2481.7:2481.7 +IOPATH D[2] O[9] 2431.07:2431.07:2431.07 2631.16:2631.16:2631.16 +IOPATH D[2] O[10] 2497.07:2497.07:2497.07 2677.13:2677.13:2677.13 +IOPATH D[2] O[11] 2723.48:2723.48:2723.48 2897.86:2897.86:2897.86 +IOPATH D[2] O[12] 2518.45:2518.45:2518.45 2671.82:2671.82:2671.82 +IOPATH D[2] O[13] 2630.5:2630.5:2630.5 2791.44:2791.44:2791.44 +IOPATH D[2] O[14] 2955.46:2955.46:2955.46 3134.63:3134.63:3134.63 +IOPATH D[2] O[15] 2866.29:2866.29:2866.29 3059.65:3059.65:3059.65 +IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1690.72:1690.72:1690.72 +IOPATH D[3] O[4] 1936.7:1936.7:1936.7 2063.57:2063.57:2063.57 +IOPATH D[3] O[5] 2060.87:2060.87:2060.87 2207.59:2207.59:2207.59 +IOPATH D[3] O[6] 2202.11:2202.11:2202.11 2359.56:2359.56:2359.56 +IOPATH D[3] O[7] 2260.18:2260.18:2260.18 2408.51:2408.51:2408.51 +IOPATH D[3] O[8] 2249.82:2249.82:2249.82 2430.14:2430.14:2430.14 +IOPATH D[3] O[9] 2379.51:2379.51:2379.51 2579.6:2579.6:2579.6 +IOPATH D[3] O[10] 2445.51:2445.51:2445.51 2625.57:2625.57:2625.57 +IOPATH D[3] O[11] 2671.92:2671.92:2671.92 2846.29:2846.29:2846.29 +IOPATH D[3] O[12] 2466.89:2466.89:2466.89 2620.26:2620.26:2620.26 +IOPATH D[3] O[13] 2578.94:2578.94:2578.94 2739.88:2739.88:2739.88 +IOPATH D[3] O[14] 2903.9:2903.9:2903.9 3083.07:3083.07:3083.07 +IOPATH D[3] O[15] 2814.73:2814.73:2814.73 3008.09:3008.09:3008.09 +IOPATH D[4] O[4] 1593.47:1593.47:1593.47 1716.48:1716.48:1716.48 +IOPATH D[4] O[5] 1740.38:1740.38:1740.38 1885.4:1885.4:1885.4 +IOPATH D[4] O[6] 1879.93:1879.93:1879.93 2037.38:2037.38:2037.38 +IOPATH D[4] O[7] 1938:1938:1938 2086.32:2086.32:2086.32 +IOPATH D[4] O[8] 2140.76:2140.76:2140.76 2321.07:2321.07:2321.07 +IOPATH D[4] O[9] 2270.43:2270.43:2270.43 2470.53:2470.53:2470.53 +IOPATH D[4] O[10] 2336.44:2336.44:2336.44 2516.49:2516.49:2516.49 +IOPATH D[4] O[11] 2562.85:2562.85:2562.85 2737.22:2737.22:2737.22 +IOPATH D[4] O[12] 2357.78:2357.78:2357.78 2511.15:2511.15:2511.15 +IOPATH D[4] O[13] 2469.83:2469.83:2469.83 2630.77:2630.77:2630.77 +IOPATH D[4] O[14] 2794.79:2794.79:2794.79 2973.96:2973.96:2973.96 +IOPATH D[4] O[15] 2705.62:2705.62:2705.62 2898.99:2898.99:2898.99 +IOPATH D[5] O[5] 1644.75:1644.75:1644.75 1780.64:1780.64:1780.64 +IOPATH D[5] O[6] 1808.82:1808.82:1808.82 1957.12:1957.12:1957.12 +IOPATH D[5] O[7] 1857.32:1857.32:1857.32 2006.06:2006.06:2006.06 +IOPATH D[5] O[8] 2194.44:2194.44:2194.44 2374.76:2374.76:2374.76 +IOPATH D[5] O[9] 2324.12:2324.12:2324.12 2524.21:2524.21:2524.21 +IOPATH D[5] O[10] 2390.13:2390.13:2390.13 2570.18:2570.18:2570.18 +IOPATH D[5] O[11] 2616.53:2616.53:2616.53 2790.91:2790.91:2790.91 +IOPATH D[5] O[12] 2411.47:2411.47:2411.47 2564.84:2564.84:2564.84 +IOPATH D[5] O[13] 2523.52:2523.52:2523.52 2684.46:2684.46:2684.46 +IOPATH D[5] O[14] 2848.48:2848.48:2848.48 3027.65:3027.65:3027.65 +IOPATH D[5] O[15] 2759.31:2759.31:2759.31 2952.67:2952.67:2952.67 +IOPATH D[6] O[6] 1641.59:1641.59:1641.59 1788.99:1788.99:1788.99 +IOPATH D[6] O[7] 1726.25:1726.25:1726.25 1862.41:1862.41:1862.41 +IOPATH D[6] O[8] 2087.51:2087.51:2087.51 2267.83:2267.83:2267.83 +IOPATH D[6] O[9] 2217.19:2217.19:2217.19 2417.28:2417.28:2417.28 +IOPATH D[6] O[10] 2283.2:2283.2:2283.2 2463.25:2463.25:2463.25 +IOPATH D[6] O[11] 2509.6:2509.6:2509.6 2683.98:2683.98:2683.98 +IOPATH D[6] O[12] 2304.54:2304.54:2304.54 2457.91:2457.91:2457.91 +IOPATH D[6] O[13] 2416.59:2416.59:2416.59 2577.53:2577.53:2577.53 +IOPATH D[6] O[14] 2741.55:2741.55:2741.55 2920.72:2920.72:2920.72 +IOPATH D[6] O[15] 2652.38:2652.38:2652.38 2845.74:2845.74:2845.74 +IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54 +IOPATH D[7] O[8] 2066.49:2066.49:2066.49 2241.1:2241.1:2241.1 +IOPATH D[7] O[9] 2190.46:2190.46:2190.46 2390.56:2390.56:2390.56 +IOPATH D[7] O[10] 2256.47:2256.47:2256.47 2436.52:2436.52:2436.52 +IOPATH D[7] O[11] 2482.88:2482.88:2482.88 2657.25:2657.25:2657.25 +IOPATH D[7] O[12] 2277.8:2277.8:2277.8 2431.18:2431.18:2431.18 +IOPATH D[7] O[13] 2389.85:2389.85:2389.85 2550.79:2550.79:2550.79 +IOPATH D[7] O[14] 2714.82:2714.82:2714.82 2893.99:2893.99:2893.99 +IOPATH D[7] O[15] 2625.64:2625.64:2625.64 2819.01:2819.01:2819.01 +IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38 +IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5 +IOPATH D[8] O[10] 1907.41:1907.41:1907.41 2087.47:2087.47:2087.47 +IOPATH D[8] O[11] 2133.82:2133.82:2133.82 2308.2:2308.2:2308.2 +IOPATH D[8] O[12] 2125.45:2125.45:2125.45 2278.72:2278.72:2278.72 +IOPATH D[8] O[13] 2237.41:2237.41:2237.41 2398.35:2398.35:2398.35 +IOPATH D[8] O[14] 2562.37:2562.37:2562.37 2741.54:2741.54:2741.54 +IOPATH D[8] O[15] 2473.2:2473.2:2473.2 2666.57:2666.57:2666.57 +IOPATH D[9] O[9] 1729.76:1729.76:1729.76 1921.35:1921.35:1921.35 +IOPATH D[9] O[10] 1819.09:1819.09:1819.09 1991.92:1991.92:1991.92 +IOPATH D[9] O[11] 2038.27:2038.27:2038.27 2212.65:2212.65:2212.65 +IOPATH D[9] O[12] 2159.94:2159.94:2159.94 2313.22:2313.22:2313.22 +IOPATH D[9] O[13] 2271.9:2271.9:2271.9 2432.84:2432.84:2432.84 +IOPATH D[9] O[14] 2596.86:2596.86:2596.86 2776.04:2776.04:2776.04 +IOPATH D[9] O[15] 2507.69:2507.69:2507.69 2701.06:2701.06:2701.06 +IOPATH D[10] O[10] 1676.68:1676.68:1676.68 1845.21:1845.21:1845.21 +IOPATH D[10] O[11] 1927.47:1927.47:1927.47 2090.56:2090.56:2090.56 +IOPATH D[10] O[12] 2077.19:2077.19:2077.19 2230.46:2230.46:2230.46 +IOPATH D[10] O[13] 2189.15:2189.15:2189.15 2350.09:2350.09:2350.09 +IOPATH D[10] O[14] 2514.11:2514.11:2514.11 2693.28:2693.28:2693.28 +IOPATH D[10] O[15] 2424.94:2424.94:2424.94 2618.31:2618.31:2618.31 +IOPATH D[11] O[11] 1794.33:1794.33:1794.33 1966.76:1966.76:1966.76 +IOPATH D[11] O[12] 2032:2032:2032 2185.26:2185.26:2185.26 +IOPATH D[11] O[13] 2143.94:2143.94:2143.94 2304.88:2304.88:2304.88 +IOPATH D[11] O[14] 2468.9:2468.9:2468.9 2648.08:2648.08:2648.08 +IOPATH D[11] O[15] 2379.73:2379.73:2379.73 2573.1:2573.1:2573.1 +IOPATH D[12] O[12] 1672.29:1672.29:1672.29 1815.52:1815.52:1815.52 +IOPATH D[12] O[13] 1807.43:1807.43:1807.43 1959.55:1959.55:1959.55 +IOPATH D[12] O[14] 2123.57:2123.57:2123.57 2302.74:2302.74:2302.74 +IOPATH D[12] O[15] 2034.4:2034.4:2034.4 2227.77:2227.77:2227.77 +IOPATH D[13] O[13] 1691.06:1691.06:1691.06 1837.69:1837.69:1837.69 +IOPATH D[13] O[14] 2035.69:2035.69:2035.69 2204.68:2204.68:2204.68 +IOPATH D[13] O[15] 1936.33:1936.33:1936.33 2129.7:2129.7:2129.7 +IOPATH D[14] O[14] 1885.41:1885.41:1885.41 2050.52:2050.52:2050.52 +IOPATH D[14] O[15] 1818.91:1818.91:1818.91 1999.97:1999.97:1999.97 +IOPATH D[15] O[15] 1716.3:1716.3:1716.3 1907.17:1907.17:1907.17 +IOPATH OLOADBOT O[0] 930.106:930.106:930.106 1000.14:1000.14:1000.14 +IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426 +IOPATH OLOADBOT O[2] 928.829:928.829:928.829 1027.86:1027.86:1027.86 +IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563 +IOPATH OLOADBOT O[4] 929.142:929.142:929.142 994.617:994.617:994.617 +IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445 +IOPATH OLOADBOT O[6] 984.111:984.111:984.111 1116.85:1116.85:1116.85 +IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956 +IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2 +IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46 +IOPATH OLOADBOT O[10] 1004.49:1004.49:1004.49 1120.52:1120.52:1120.52 +IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72 +IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66 +IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42 +IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74 +IOPATH OLOADBOT O[15] 1025.74:1025.74:1025.74 1189.82:1189.82:1189.82 +IOPATH OLOADTOP O[16] 1123.39:1123.39:1123.39 1301.49:1301.49:1301.49 +IOPATH OLOADTOP O[17] 1061.51:1061.51:1061.51 1311.62:1311.62:1311.62 +IOPATH OLOADTOP O[18] 960.736:960.736:960.736 1195.65:1195.65:1195.65 +IOPATH OLOADTOP O[19] 1075.24:1075.24:1075.24 1220.06:1220.06:1220.06 +IOPATH OLOADTOP O[20] 998.828:998.828:998.828 1123.23:1123.23:1123.23 +IOPATH OLOADTOP O[21] 947.354:947.354:947.354 1139.75:1139.75:1139.75 +IOPATH OLOADTOP O[22] 1067.04:1067.04:1067.04 1200.08:1200.08:1200.08 +IOPATH OLOADTOP O[23] 983.054:983.054:983.054 1189.04:1189.04:1189.04 +IOPATH OLOADTOP O[24] 815.867:815.867:815.867 962.766:962.766:962.766 +IOPATH OLOADTOP O[25] 1029.87:1029.87:1029.87 1181.83:1181.83:1181.83 +IOPATH OLOADTOP O[26] 1035.45:1035.45:1035.45 1263.44:1263.44:1263.44 +IOPATH OLOADTOP O[27] 997.557:997.557:997.557 1090.52:1090.52:1090.52 +IOPATH OLOADTOP O[28] 923.324:923.324:923.324 1109.8:1109.8:1109.8 +IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 +IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 +IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 + +CELL SB_MAC16_ADS_U_32P32_BYPASS +IOPATH A[0] ACCUMCO 1473.75:1473.75:1473.75 1927.31:1927.31:1927.31 +IOPATH A[0] CO 2046.16:2046.16:2046.16 2473.3:2473.3:2473.3 +IOPATH A[0] O[16] 1873.55:1873.55:1873.55 2109.41:2109.41:2109.41 +IOPATH A[0] O[17] 1998.32:1998.32:1998.32 2206.56:2206.56:2206.56 +IOPATH A[0] O[18] 2113.84:2113.84:2113.84 2348.8:2348.8:2348.8 +IOPATH A[0] O[19] 2281.86:2281.86:2281.86 2460.91:2460.91:2460.91 +IOPATH A[0] O[20] 2420.18:2420.18:2420.18 2591.34:2591.34:2591.34 +IOPATH A[0] O[21] 2356.24:2356.24:2356.24 2521.77:2521.77:2521.77 +IOPATH A[0] O[22] 2522:2522:2522 2727.94:2727.94:2727.94 +IOPATH A[0] O[23] 2582.12:2582.12:2582.12 2759.03:2759.03:2759.03 +IOPATH A[0] O[24] 2496.17:2496.17:2496.17 2653.6:2653.6:2653.6 +IOPATH A[0] O[25] 2609.16:2609.16:2609.16 2792.12:2792.12:2792.12 +IOPATH A[0] O[26] 2772.04:2772.04:2772.04 2972.33:2972.33:2972.33 +IOPATH A[0] O[27] 2763.01:2763.01:2763.01 2927.46:2927.46:2927.46 +IOPATH A[0] O[28] 2693.81:2693.81:2693.81 2851.37:2851.37:2851.37 +IOPATH A[0] O[29] 2778.97:2778.97:2778.97 2939.5:2939.5:2939.5 +IOPATH A[0] O[30] 2845.96:2845.96:2845.96 2975.95:2975.95:2975.95 +IOPATH A[0] O[31] 2896.37:2896.37:2896.37 2986.49:2986.49:2986.49 +IOPATH A[1] ACCUMCO 1711.73:1711.73:1711.73 1984.9:1984.9:1984.9 +IOPATH A[1] CO 2284.13:2284.13:2284.13 2530.89:2530.89:2530.89 +IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 +IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 +IOPATH A[1] O[19] 2268.65:2268.65:2268.65 2444.42:2444.42:2444.42 +IOPATH A[1] O[20] 2477.77:2477.77:2477.77 2648.93:2648.93:2648.93 +IOPATH A[1] O[21] 2413.84:2413.84:2413.84 2579.37:2579.37:2579.37 +IOPATH A[1] O[22] 2579.59:2579.59:2579.59 2785.53:2785.53:2785.53 +IOPATH A[1] O[23] 2639.72:2639.72:2639.72 2816.63:2816.63:2816.63 +IOPATH A[1] O[24] 2553.77:2553.77:2553.77 2711.2:2711.2:2711.2 +IOPATH A[1] O[25] 2666.75:2666.75:2666.75 2849.71:2849.71:2849.71 +IOPATH A[1] O[26] 2829.64:2829.64:2829.64 3029.92:3029.92:3029.92 +IOPATH A[1] O[27] 2820.6:2820.6:2820.6 2985.06:2985.06:2985.06 +IOPATH A[1] O[28] 2751.4:2751.4:2751.4 2908.96:2908.96:2908.96 +IOPATH A[1] O[29] 2836.56:2836.56:2836.56 2997.09:2997.09:2997.09 +IOPATH A[1] O[30] 2903.55:2903.55:2903.55 3033.54:3033.54:3033.54 +IOPATH A[1] O[31] 2953.96:2953.96:2953.96 3044.08:3044.08:3044.08 +IOPATH A[2] ACCUMCO 1654.73:1654.73:1654.73 1866.47:1866.47:1866.47 +IOPATH A[2] CO 2227.13:2227.13:2227.13 2412.46:2412.46:2412.46 +IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 +IOPATH A[2] O[19] 2135.47:2135.47:2135.47 2311.25:2311.25:2311.25 +IOPATH A[2] O[20] 2371.31:2371.31:2371.31 2530.5:2530.5:2530.5 +IOPATH A[2] O[21] 2295.28:2295.28:2295.28 2460.93:2460.93:2460.93 +IOPATH A[2] O[22] 2461.15:2461.15:2461.15 2667.09:2667.09:2667.09 +IOPATH A[2] O[23] 2521.28:2521.28:2521.28 2698.19:2698.19:2698.19 +IOPATH A[2] O[24] 2435.33:2435.33:2435.33 2592.76:2592.76:2592.76 +IOPATH A[2] O[25] 2548.32:2548.32:2548.32 2731.28:2731.28:2731.28 +IOPATH A[2] O[26] 2711.2:2711.2:2711.2 2911.49:2911.49:2911.49 +IOPATH A[2] O[27] 2702.17:2702.17:2702.17 2866.62:2866.62:2866.62 +IOPATH A[2] O[28] 2632.97:2632.97:2632.97 2790.52:2790.52:2790.52 +IOPATH A[2] O[29] 2718.12:2718.12:2718.12 2878.66:2878.66:2878.66 +IOPATH A[2] O[30] 2785.12:2785.12:2785.12 2915.11:2915.11:2915.11 +IOPATH A[2] O[31] 2835.53:2835.53:2835.53 2925.65:2925.65:2925.65 +IOPATH A[3] ACCUMCO 1565.09:1565.09:1565.09 1792.96:1792.96:1792.96 +IOPATH A[3] CO 2137.49:2137.49:2137.49 2338.95:2338.95:2338.95 +IOPATH A[3] O[19] 1985.7:1985.7:1985.7 2161.47:2161.47:2161.47 +IOPATH A[3] O[20] 2286.43:2286.43:2286.43 2457.6:2457.6:2457.6 +IOPATH A[3] O[21] 2222.5:2222.5:2222.5 2388.03:2388.03:2388.03 +IOPATH A[3] O[22] 2388.25:2388.25:2388.25 2594.19:2594.19:2594.19 +IOPATH A[3] O[23] 2448.38:2448.38:2448.38 2625.29:2625.29:2625.29 +IOPATH A[3] O[24] 2362.42:2362.42:2362.42 2519.85:2519.85:2519.85 +IOPATH A[3] O[25] 2475.41:2475.41:2475.41 2658.37:2658.37:2658.37 +IOPATH A[3] O[26] 2638.29:2638.29:2638.29 2838.58:2838.58:2838.58 +IOPATH A[3] O[27] 2629.26:2629.26:2629.26 2793.71:2793.71:2793.71 +IOPATH A[3] O[28] 2560.06:2560.06:2560.06 2717.62:2717.62:2717.62 +IOPATH A[3] O[29] 2645.22:2645.22:2645.22 2805.75:2805.75:2805.75 +IOPATH A[3] O[30] 2712.21:2712.21:2712.21 2842.2:2842.2:2842.2 +IOPATH A[3] O[31] 2762.62:2762.62:2762.62 2852.74:2852.74:2852.74 +IOPATH A[4] ACCUMCO 1596.39:1596.39:1596.39 1990.71:1990.71:1990.71 +IOPATH A[4] CO 2168.8:2168.8:2168.8 2536.7:2536.7:2536.7 +IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 +IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 +IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 +IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 +IOPATH A[4] O[24] 2329.39:2329.39:2329.39 2486.82:2486.82:2486.82 +IOPATH A[4] O[25] 2442.37:2442.37:2442.37 2625.33:2625.33:2625.33 +IOPATH A[4] O[26] 2605.26:2605.26:2605.26 2805.54:2805.54:2805.54 +IOPATH A[4] O[27] 2596.22:2596.22:2596.22 2760.67:2760.67:2760.67 +IOPATH A[4] O[28] 2526.98:2526.98:2526.98 2684.54:2684.54:2684.54 +IOPATH A[4] O[29] 2612.14:2612.14:2612.14 2772.68:2772.68:2772.68 +IOPATH A[4] O[30] 2679.14:2679.14:2679.14 2809.12:2809.12:2809.12 +IOPATH A[4] O[31] 2729.54:2729.54:2729.54 2819.66:2819.66:2819.66 +IOPATH A[5] ACCUMCO 1532.78:1532.78:1532.78 1826.74:1826.74:1826.74 +IOPATH A[5] CO 2105.19:2105.19:2105.19 2372.72:2372.72:2372.72 +IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 +IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 +IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 +IOPATH A[5] O[24] 2165.43:2165.43:2165.43 2322.85:2322.85:2322.85 +IOPATH A[5] O[25] 2278.4:2278.4:2278.4 2461.36:2461.36:2461.36 +IOPATH A[5] O[26] 2441.28:2441.28:2441.28 2641.57:2641.57:2641.57 +IOPATH A[5] O[27] 2432.25:2432.25:2432.25 2596.7:2596.7:2596.7 +IOPATH A[5] O[28] 2363.01:2363.01:2363.01 2520.57:2520.57:2520.57 +IOPATH A[5] O[29] 2448.17:2448.17:2448.17 2608.71:2608.71:2608.71 +IOPATH A[5] O[30] 2515.17:2515.17:2515.17 2645.15:2645.15:2645.15 +IOPATH A[5] O[31] 2565.57:2565.57:2565.57 2655.7:2655.7:2655.7 +IOPATH A[6] ACCUMCO 1676.35:1676.35:1676.35 1928.47:1928.47:1928.47 +IOPATH A[6] CO 2248.75:2248.75:2248.75 2474.46:2474.46:2474.46 +IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 +IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 +IOPATH A[6] O[24] 2278.36:2278.36:2278.36 2424.58:2424.58:2424.58 +IOPATH A[6] O[25] 2380.14:2380.14:2380.14 2563.1:2563.1:2563.1 +IOPATH A[6] O[26] 2543.02:2543.02:2543.02 2743.31:2743.31:2743.31 +IOPATH A[6] O[27] 2533.99:2533.99:2533.99 2698.44:2698.44:2698.44 +IOPATH A[6] O[28] 2464.75:2464.75:2464.75 2622.31:2622.31:2622.31 +IOPATH A[6] O[29] 2549.91:2549.91:2549.91 2710.44:2710.44:2710.44 +IOPATH A[6] O[30] 2616.9:2616.9:2616.9 2746.89:2746.89:2746.89 +IOPATH A[6] O[31] 2667.31:2667.31:2667.31 2757.43:2757.43:2757.43 +IOPATH A[7] ACCUMCO 1609.07:1609.07:1609.07 1852.65:1852.65:1852.65 +IOPATH A[7] CO 2181.47:2181.47:2181.47 2398.64:2398.64:2398.64 +IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.48:2022.48:2022.48 +IOPATH A[7] O[24] 2221.66:2221.66:2221.66 2364.02:2364.02:2364.02 +IOPATH A[7] O[25] 2320.58:2320.58:2320.58 2488.48:2488.48:2488.48 +IOPATH A[7] O[26] 2471.36:2471.36:2471.36 2668.69:2668.69:2668.69 +IOPATH A[7] O[27] 2459.37:2459.37:2459.37 2623.82:2623.82:2623.82 +IOPATH A[7] O[28] 2390.13:2390.13:2390.13 2547.68:2547.68:2547.68 +IOPATH A[7] O[29] 2475.28:2475.28:2475.28 2635.82:2635.82:2635.82 +IOPATH A[7] O[30] 2542.28:2542.28:2542.28 2672.27:2672.27:2672.27 +IOPATH A[7] O[31] 2592.69:2592.69:2592.69 2682.81:2682.81:2682.81 +IOPATH A[8] ACCUMCO 1566.32:1566.32:1566.32 1820.86:1820.86:1820.86 +IOPATH A[8] CO 2138.72:2138.72:2138.72 2366.85:2366.85:2366.85 +IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 +IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 +IOPATH A[8] O[26] 2105.23:2105.23:2105.23 2290.23:2290.23:2290.23 +IOPATH A[8] O[27] 2086.3:2086.3:2086.3 2235.19:2235.19:2235.19 +IOPATH A[8] O[28] 2288.83:2288.83:2288.83 2446.38:2446.38:2446.38 +IOPATH A[8] O[29] 2373.98:2373.98:2373.98 2534.52:2534.52:2534.52 +IOPATH A[8] O[30] 2440.98:2440.98:2440.98 2570.97:2570.97:2570.97 +IOPATH A[8] O[31] 2491.39:2491.39:2491.39 2581.51:2581.51:2581.51 +IOPATH A[9] ACCUMCO 1685.82:1685.82:1685.82 1937.96:1937.96:1937.96 +IOPATH A[9] CO 2258.23:2258.23:2258.23 2483.94:2483.94:2483.94 +IOPATH A[9] O[25] 1936.15:1936.15:1936.15 2103.39:2103.39:2103.39 +IOPATH A[9] O[26] 2123.3:2123.3:2123.3 2308.31:2308.31:2308.31 +IOPATH A[9] O[27] 2104.37:2104.37:2104.37 2253.26:2253.26:2253.26 +IOPATH A[9] O[28] 2405.93:2405.93:2405.93 2563.48:2563.48:2563.48 +IOPATH A[9] O[29] 2491.08:2491.08:2491.08 2651.61:2651.61:2651.61 +IOPATH A[9] O[30] 2558.07:2558.07:2558.07 2688.06:2688.06:2688.06 +IOPATH A[9] O[31] 2608.48:2608.48:2608.48 2698.6:2698.6:2698.6 +IOPATH A[10] ACCUMCO 1570.85:1570.85:1570.85 1726.27:1726.27:1726.27 +IOPATH A[10] CO 2143.25:2143.25:2143.25 2272.26:2272.26:2272.26 +IOPATH A[10] O[26] 1876.91:1876.91:1876.91 2061.92:2061.92:2061.92 +IOPATH A[10] O[27] 1893.72:1893.72:1893.72 2042.61:2042.61:2042.61 +IOPATH A[10] O[28] 2184.69:2184.69:2184.69 2342.39:2342.39:2342.39 +IOPATH A[10] O[29] 2269.98:2269.98:2269.98 2430.52:2430.52:2430.52 +IOPATH A[10] O[30] 2336.98:2336.98:2336.98 2466.97:2466.97:2466.97 +IOPATH A[10] O[31] 2387.39:2387.39:2387.39 2477.51:2477.51:2477.51 +IOPATH A[11] ACCUMCO 1426.38:1426.38:1426.38 1589.66:1589.66:1589.66 +IOPATH A[11] CO 1998.78:1998.78:1998.78 2135.65:2135.65:2135.65 +IOPATH A[11] O[27] 1652.87:1652.87:1652.87 1801.77:1801.77:1801.77 +IOPATH A[11] O[28] 2019.2:2019.2:2019.2 2174.11:2174.11:2174.11 +IOPATH A[11] O[29] 2101.7:2101.7:2101.7 2262.24:2262.24:2262.24 +IOPATH A[11] O[30] 2168.7:2168.7:2168.7 2298.69:2298.69:2298.69 +IOPATH A[11] O[31] 2219.11:2219.11:2219.11 2309.23:2309.23:2309.23 +IOPATH A[12] ACCUMCO 1424.4:1424.4:1424.4 1617.79:1617.79:1617.79 +IOPATH A[12] CO 1996.81:1996.81:1996.81 2163.78:2163.78:2163.78 +IOPATH A[12] O[28] 1660.43:1660.43:1660.43 1802.15:1802.15:1802.15 +IOPATH A[12] O[29] 1769.09:1769.09:1769.09 1913.37:1913.37:1913.37 +IOPATH A[12] O[30] 1822.99:1822.99:1822.99 1941.49:1941.49:1941.49 +IOPATH A[12] O[31] 1861.91:1861.91:1861.91 1952.71:1952.71:1952.71 +IOPATH A[13] ACCUMCO 1485.85:1485.85:1485.85 1645.15:1645.15:1645.15 +IOPATH A[13] CO 2058.25:2058.25:2058.25 2191.14:2191.14:2191.14 +IOPATH A[13] O[29] 1693.22:1693.22:1693.22 1837.49:1837.49:1837.49 +IOPATH A[13] O[30] 1783.13:1783.13:1783.13 1899.84:1899.84:1899.84 +IOPATH A[13] O[31] 1828.64:1828.64:1828.64 1912.84:1912.84:1912.84 +IOPATH A[14] ACCUMCO 1549.44:1549.44:1549.44 1630.6:1630.6:1630.6 +IOPATH A[14] CO 2121.84:2121.84:2121.84 2176.59:2176.59:2176.59 +IOPATH A[14] O[30] 1722.21:1722.21:1722.21 1838.92:1838.92:1838.92 +IOPATH A[14] O[31] 1803.31:1803.31:1803.31 1887.52:1887.52:1887.52 +IOPATH A[15] ACCUMCO 1630.95:1630.95:1630.95 1787.14:1787.14:1787.14 +IOPATH A[15] CO 2203.35:2203.35:2203.35 2333.13:2333.13:2333.13 +IOPATH A[15] O[31] 1831.86:1831.86:1831.86 1893.08:1893.08:1893.08 +IOPATH A[15] SIGNEXTOUT 864.788:864.788:864.788 824.954:824.954:824.954 +IOPATH ADDSUBBOT ACCUMCO 2246.92:2246.92:2246.92 2490.81:2490.81:2490.81 +IOPATH ADDSUBBOT CO 2819.33:2819.33:2819.33 3036.8:3036.8:3036.8 +IOPATH ADDSUBBOT O[0] 1487.51:1487.51:1487.51 1615.96:1615.96:1615.96 +IOPATH ADDSUBBOT O[1] 1584.22:1584.22:1584.22 1689.25:1689.25:1689.25 +IOPATH ADDSUBBOT O[2] 1707.32:1707.32:1707.32 1832.64:1832.64:1832.64 +IOPATH ADDSUBBOT O[3] 1803.68:1803.68:1803.68 1934.88:1934.88:1934.88 +IOPATH ADDSUBBOT O[4] 2085.17:2085.17:2085.17 2212.01:2212.01:2212.01 +IOPATH ADDSUBBOT O[5] 2209.32:2209.32:2209.32 2356.03:2356.03:2356.03 +IOPATH ADDSUBBOT O[6] 2350.55:2350.55:2350.55 2508:2508:2508 +IOPATH ADDSUBBOT O[7] 2408.62:2408.62:2408.62 2556.95:2556.95:2556.95 +IOPATH ADDSUBBOT O[8] 2398.23:2398.23:2398.23 2578.55:2578.55:2578.55 +IOPATH ADDSUBBOT O[9] 2527.92:2527.92:2527.92 2728.01:2728.01:2728.01 +IOPATH ADDSUBBOT O[10] 2593.92:2593.92:2593.92 2773.97:2773.97:2773.97 +IOPATH ADDSUBBOT O[11] 2820.33:2820.33:2820.33 2994.7:2994.7:2994.7 +IOPATH ADDSUBBOT O[12] 2615.3:2615.3:2615.3 2768.67:2768.67:2768.67 +IOPATH ADDSUBBOT O[13] 2727.35:2727.35:2727.35 2888.29:2888.29:2888.29 +IOPATH ADDSUBBOT O[14] 3052.31:3052.31:3052.31 3231.48:3231.48:3231.48 +IOPATH ADDSUBBOT O[15] 2963.14:2963.14:2963.14 3156.5:3156.5:3156.5 +IOPATH ADDSUBBOT O[16] 3064.75:3064.75:3064.75 3315.51:3315.51:3315.51 +IOPATH ADDSUBBOT O[17] 3164.04:3164.04:3164.04 3387.78:3387.78:3387.78 +IOPATH ADDSUBBOT O[18] 3295.03:3295.03:3295.03 3545.48:3545.48:3545.48 +IOPATH ADDSUBBOT O[19] 3473.77:3473.77:3473.77 3664.72:3664.72:3664.72 +IOPATH ADDSUBBOT O[20] 3349.6:3349.6:3349.6 3520.77:3520.77:3520.77 +IOPATH ADDSUBBOT O[21] 3285.67:3285.67:3285.67 3451.2:3451.2:3451.2 +IOPATH ADDSUBBOT O[22] 3451.43:3451.43:3451.43 3657.36:3657.36:3657.36 +IOPATH ADDSUBBOT O[23] 3511.55:3511.55:3511.55 3688.46:3688.46:3688.46 +IOPATH ADDSUBBOT O[24] 3425.64:3425.64:3425.64 3583.07:3583.07:3583.07 +IOPATH ADDSUBBOT O[25] 3538.62:3538.62:3538.62 3721.58:3721.58:3721.58 +IOPATH ADDSUBBOT O[26] 3701.51:3701.51:3701.51 3901.79:3901.79:3901.79 +IOPATH ADDSUBBOT O[27] 3692.47:3692.47:3692.47 3856.93:3856.93:3856.93 +IOPATH ADDSUBBOT O[28] 3623.27:3623.27:3623.27 3780.83:3780.83:3780.83 +IOPATH ADDSUBBOT O[29] 3708.43:3708.43:3708.43 3868.97:3868.97:3868.97 +IOPATH ADDSUBBOT O[30] 3775.43:3775.43:3775.43 3905.41:3905.41:3905.41 +IOPATH ADDSUBBOT O[31] 3825.83:3825.83:3825.83 3915.95:3915.95:3915.95 +IOPATH ADDSUBTOP ACCUMCO 1606.18:1606.18:1606.18 1892.74:1892.74:1892.74 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH ADDSUBTOP O[16] 1843.02:1843.02:1843.02 2087.73:2087.73:2087.73 +IOPATH ADDSUBTOP O[17] 1967.89:1967.89:1967.89 2184.56:2184.56:2184.56 +IOPATH ADDSUBTOP O[18] 2091.82:2091.82:2091.82 2342.27:2342.27:2342.27 +IOPATH ADDSUBTOP O[19] 2270.56:2270.56:2270.56 2461.51:2461.51:2461.51 +IOPATH ADDSUBTOP O[20] 2385.6:2385.6:2385.6 2556.77:2556.77:2556.77 +IOPATH ADDSUBTOP O[21] 2321.67:2321.67:2321.67 2487.2:2487.2:2487.2 +IOPATH ADDSUBTOP O[22] 2487.42:2487.42:2487.42 2693.36:2693.36:2693.36 +IOPATH ADDSUBTOP O[23] 2547.55:2547.55:2547.55 2724.46:2724.46:2724.46 +IOPATH ADDSUBTOP O[24] 2461.6:2461.6:2461.6 2619.03:2619.03:2619.03 +IOPATH ADDSUBTOP O[25] 2574.59:2574.59:2574.59 2757.55:2757.55:2757.55 +IOPATH ADDSUBTOP O[26] 2737.47:2737.47:2737.47 2937.76:2937.76:2937.76 +IOPATH ADDSUBTOP O[27] 2728.44:2728.44:2728.44 2892.89:2892.89:2892.89 +IOPATH ADDSUBTOP O[28] 2659.24:2659.24:2659.24 2816.79:2816.79:2816.79 +IOPATH ADDSUBTOP O[29] 2744.39:2744.39:2744.39 2904.93:2904.93:2904.93 +IOPATH ADDSUBTOP O[30] 2811.39:2811.39:2811.39 2941.38:2941.38:2941.38 +IOPATH ADDSUBTOP O[31] 2861.8:2861.8:2861.8 2951.92:2951.92:2951.92 +IOPATH B[0] ACCUMCO 2173.89:2173.89:2173.89 2437.17:2437.17:2437.17 +IOPATH B[0] CO 2746.3:2746.3:2746.3 2983.16:2983.16:2983.16 +IOPATH B[0] O[0] 1616.92:1616.92:1616.92 1766.85:1766.85:1766.85 +IOPATH B[0] O[1] 1730.89:1730.89:1730.89 1840.16:1840.16:1840.16 +IOPATH B[0] O[2] 1858.23:1858.23:1858.23 1983.54:1983.54:1983.54 +IOPATH B[0] O[3] 1954.59:1954.59:1954.59 2085.79:2085.79:2085.79 +IOPATH B[0] O[4] 2101.7:2101.7:2101.7 2228.55:2228.55:2228.55 +IOPATH B[0] O[5] 2225.85:2225.85:2225.85 2372.56:2372.56:2372.56 +IOPATH B[0] O[6] 2367.09:2367.09:2367.09 2524.54:2524.54:2524.54 +IOPATH B[0] O[7] 2425.16:2425.16:2425.16 2573.48:2573.48:2573.48 +IOPATH B[0] O[8] 2414.76:2414.76:2414.76 2595.09:2595.09:2595.09 +IOPATH B[0] O[9] 2544.45:2544.45:2544.45 2744.54:2744.54:2744.54 +IOPATH B[0] O[10] 2610.45:2610.45:2610.45 2790.51:2790.51:2790.51 +IOPATH B[0] O[11] 2836.86:2836.86:2836.86 3011.24:3011.24:3011.24 +IOPATH B[0] O[12] 2631.83:2631.83:2631.83 2785.21:2785.21:2785.21 +IOPATH B[0] O[13] 2743.88:2743.88:2743.88 2904.82:2904.82:2904.82 +IOPATH B[0] O[14] 3068.84:3068.84:3068.84 3248.01:3248.01:3248.01 +IOPATH B[0] O[15] 2979.67:2979.67:2979.67 3173.04:3173.04:3173.04 +IOPATH B[0] O[16] 3011.11:3011.11:3011.11 3261.87:3261.87:3261.87 +IOPATH B[0] O[17] 3110.39:3110.39:3110.39 3334.13:3334.13:3334.13 +IOPATH B[0] O[18] 3241.38:3241.38:3241.38 3491.83:3491.83:3491.83 +IOPATH B[0] O[19] 3420.12:3420.12:3420.12 3611.07:3611.07:3611.07 +IOPATH B[0] O[20] 3295.95:3295.95:3295.95 3467.12:3467.12:3467.12 +IOPATH B[0] O[21] 3232.03:3232.03:3232.03 3397.56:3397.56:3397.56 +IOPATH B[0] O[22] 3397.78:3397.78:3397.78 3603.72:3603.72:3603.72 +IOPATH B[0] O[23] 3457.91:3457.91:3457.91 3634.82:3634.82:3634.82 +IOPATH B[0] O[24] 3371.99:3371.99:3371.99 3529.42:3529.42:3529.42 +IOPATH B[0] O[25] 3484.98:3484.98:3484.98 3667.94:3667.94:3667.94 +IOPATH B[0] O[26] 3647.86:3647.86:3647.86 3848.15:3848.15:3848.15 +IOPATH B[0] O[27] 3638.83:3638.83:3638.83 3803.28:3803.28:3803.28 +IOPATH B[0] O[28] 3569.63:3569.63:3569.63 3727.19:3727.19:3727.19 +IOPATH B[0] O[29] 3654.78:3654.78:3654.78 3815.32:3815.32:3815.32 +IOPATH B[0] O[30] 3721.78:3721.78:3721.78 3851.77:3851.77:3851.77 +IOPATH B[0] O[31] 3772.19:3772.19:3772.19 3862.31:3862.31:3862.31 +IOPATH B[1] ACCUMCO 2244.46:2244.46:2244.46 2500.09:2500.09:2500.09 +IOPATH B[1] CO 2816.86:2816.86:2816.86 3046.08:3046.08:3046.08 +IOPATH B[1] O[1] 1624.74:1624.74:1624.74 1759.2:1759.2:1759.2 +IOPATH B[1] O[2] 1801.85:1801.85:1801.85 1927.16:1927.16:1927.16 +IOPATH B[1] O[3] 1898.2:1898.2:1898.2 2029.41:2029.41:2029.41 +IOPATH B[1] O[4] 2164.63:2164.63:2164.63 2291.47:2291.47:2291.47 +IOPATH B[1] O[5] 2288.78:2288.78:2288.78 2435.49:2435.49:2435.49 +IOPATH B[1] O[6] 2430.02:2430.02:2430.02 2587.47:2587.47:2587.47 +IOPATH B[1] O[7] 2488.09:2488.09:2488.09 2636.41:2636.41:2636.41 +IOPATH B[1] O[8] 2477.69:2477.69:2477.69 2658.02:2658.02:2658.02 +IOPATH B[1] O[9] 2607.38:2607.38:2607.38 2807.47:2807.47:2807.47 +IOPATH B[1] O[10] 2673.38:2673.38:2673.38 2853.44:2853.44:2853.44 +IOPATH B[1] O[11] 2899.79:2899.79:2899.79 3074.17:3074.17:3074.17 +IOPATH B[1] O[12] 2694.76:2694.76:2694.76 2848.13:2848.13:2848.13 +IOPATH B[1] O[13] 2806.81:2806.81:2806.81 2967.75:2967.75:2967.75 +IOPATH B[1] O[14] 3131.77:3131.77:3131.77 3310.94:3310.94:3310.94 +IOPATH B[1] O[15] 3042.6:3042.6:3042.6 3235.97:3235.97:3235.97 +IOPATH B[1] O[16] 3074.04:3074.04:3074.04 3324.79:3324.79:3324.79 +IOPATH B[1] O[17] 3173.32:3173.32:3173.32 3397.06:3397.06:3397.06 +IOPATH B[1] O[18] 3304.31:3304.31:3304.31 3554.76:3554.76:3554.76 +IOPATH B[1] O[19] 3483.05:3483.05:3483.05 3674:3674:3674 +IOPATH B[1] O[20] 3358.88:3358.88:3358.88 3530.05:3530.05:3530.05 +IOPATH B[1] O[21] 3294.95:3294.95:3294.95 3460.48:3460.48:3460.48 +IOPATH B[1] O[22] 3460.71:3460.71:3460.71 3666.64:3666.64:3666.64 +IOPATH B[1] O[23] 3520.83:3520.83:3520.83 3697.74:3697.74:3697.74 +IOPATH B[1] O[24] 3434.92:3434.92:3434.92 3592.35:3592.35:3592.35 +IOPATH B[1] O[25] 3547.9:3547.9:3547.9 3730.86:3730.86:3730.86 +IOPATH B[1] O[26] 3710.79:3710.79:3710.79 3911.07:3911.07:3911.07 +IOPATH B[1] O[27] 3701.75:3701.75:3701.75 3866.21:3866.21:3866.21 +IOPATH B[1] O[28] 3632.55:3632.55:3632.55 3790.11:3790.11:3790.11 +IOPATH B[1] O[29] 3717.71:3717.71:3717.71 3878.25:3878.25:3878.25 +IOPATH B[1] O[30] 3784.7:3784.7:3784.7 3914.69:3914.69:3914.69 +IOPATH B[1] O[31] 3835.11:3835.11:3835.11 3925.23:3925.23:3925.23 +IOPATH B[2] ACCUMCO 2237.7:2237.7:2237.7 2465.1:2465.1:2465.1 +IOPATH B[2] CO 2810.1:2810.1:2810.1 3011.08:3011.08:3011.08 +IOPATH B[2] O[2] 1671.3:1671.3:1671.3 1822.61:1822.61:1822.61 +IOPATH B[2] O[3] 1818.17:1818.17:1818.17 1949.37:1949.37:1949.37 +IOPATH B[2] O[4] 2129.63:2129.63:2129.63 2256.47:2256.47:2256.47 +IOPATH B[2] O[5] 2253.78:2253.78:2253.78 2400.49:2400.49:2400.49 +IOPATH B[2] O[6] 2395.02:2395.02:2395.02 2552.47:2552.47:2552.47 +IOPATH B[2] O[7] 2453.09:2453.09:2453.09 2601.41:2601.41:2601.41 +IOPATH B[2] O[8] 2442.69:2442.69:2442.69 2623.02:2623.02:2623.02 +IOPATH B[2] O[9] 2572.38:2572.38:2572.38 2772.47:2772.47:2772.47 +IOPATH B[2] O[10] 2638.38:2638.38:2638.38 2818.44:2818.44:2818.44 +IOPATH B[2] O[11] 2864.79:2864.79:2864.79 3039.17:3039.17:3039.17 +IOPATH B[2] O[12] 2659.76:2659.76:2659.76 2813.14:2813.14:2813.14 +IOPATH B[2] O[13] 2771.81:2771.81:2771.81 2932.75:2932.75:2932.75 +IOPATH B[2] O[14] 3096.77:3096.77:3096.77 3275.94:3275.94:3275.94 +IOPATH B[2] O[15] 3007.6:3007.6:3007.6 3200.97:3200.97:3200.97 +IOPATH B[2] O[16] 3039.04:3039.04:3039.04 3289.79:3289.79:3289.79 +IOPATH B[2] O[17] 3138.32:3138.32:3138.32 3362.06:3362.06:3362.06 +IOPATH B[2] O[18] 3269.31:3269.31:3269.31 3519.76:3519.76:3519.76 +IOPATH B[2] O[19] 3448.05:3448.05:3448.05 3639:3639:3639 +IOPATH B[2] O[20] 3323.88:3323.88:3323.88 3495.05:3495.05:3495.05 +IOPATH B[2] O[21] 3259.96:3259.96:3259.96 3425.48:3425.48:3425.48 +IOPATH B[2] O[22] 3425.71:3425.71:3425.71 3631.65:3631.65:3631.65 +IOPATH B[2] O[23] 3485.83:3485.83:3485.83 3662.75:3662.75:3662.75 +IOPATH B[2] O[24] 3399.92:3399.92:3399.92 3557.35:3557.35:3557.35 +IOPATH B[2] O[25] 3512.9:3512.9:3512.9 3695.86:3695.86:3695.86 +IOPATH B[2] O[26] 3675.79:3675.79:3675.79 3876.07:3876.07:3876.07 +IOPATH B[2] O[27] 3666.76:3666.76:3666.76 3831.21:3831.21:3831.21 +IOPATH B[2] O[28] 3597.55:3597.55:3597.55 3755.11:3755.11:3755.11 +IOPATH B[2] O[29] 3682.71:3682.71:3682.71 3843.25:3843.25:3843.25 +IOPATH B[2] O[30] 3749.71:3749.71:3749.71 3879.69:3879.69:3879.69 +IOPATH B[2] O[31] 3800.11:3800.11:3800.11 3890.23:3890.23:3890.23 +IOPATH B[3] ACCUMCO 2118.7:2118.7:2118.7 2353.52:2353.52:2353.52 +IOPATH B[3] CO 2691.11:2691.11:2691.11 2899.51:2899.51:2899.51 +IOPATH B[3] O[3] 1604.07:1604.07:1604.07 1759.87:1759.87:1759.87 +IOPATH B[3] O[4] 2018.91:2018.91:2018.91 2145.76:2145.76:2145.76 +IOPATH B[3] O[5] 2143.06:2143.06:2143.06 2289.77:2289.77:2289.77 +IOPATH B[3] O[6] 2284.3:2284.3:2284.3 2441.75:2441.75:2441.75 +IOPATH B[3] O[7] 2342.37:2342.37:2342.37 2490.69:2490.69:2490.69 +IOPATH B[3] O[8] 2331.97:2331.97:2331.97 2512.3:2512.3:2512.3 +IOPATH B[3] O[9] 2461.66:2461.66:2461.66 2661.75:2661.75:2661.75 +IOPATH B[3] O[10] 2527.66:2527.66:2527.66 2707.72:2707.72:2707.72 +IOPATH B[3] O[11] 2754.07:2754.07:2754.07 2928.45:2928.45:2928.45 +IOPATH B[3] O[12] 2549.04:2549.04:2549.04 2702.41:2702.41:2702.41 +IOPATH B[3] O[13] 2661.09:2661.09:2661.09 2822.03:2822.03:2822.03 +IOPATH B[3] O[14] 2986.05:2986.05:2986.05 3165.22:3165.22:3165.22 +IOPATH B[3] O[15] 2896.88:2896.88:2896.88 3090.24:3090.24:3090.24 +IOPATH B[3] O[16] 2927.46:2927.46:2927.46 3178.22:3178.22:3178.22 +IOPATH B[3] O[17] 3026.74:3026.74:3026.74 3250.48:3250.48:3250.48 +IOPATH B[3] O[18] 3157.73:3157.73:3157.73 3408.18:3408.18:3408.18 +IOPATH B[3] O[19] 3336.47:3336.47:3336.47 3527.42:3527.42:3527.42 +IOPATH B[3] O[20] 3212.3:3212.3:3212.3 3383.47:3383.47:3383.47 +IOPATH B[3] O[21] 3148.38:3148.38:3148.38 3313.91:3313.91:3313.91 +IOPATH B[3] O[22] 3314.13:3314.13:3314.13 3520.07:3520.07:3520.07 +IOPATH B[3] O[23] 3374.26:3374.26:3374.26 3551.17:3551.17:3551.17 +IOPATH B[3] O[24] 3288.34:3288.34:3288.34 3445.77:3445.77:3445.77 +IOPATH B[3] O[25] 3401.33:3401.33:3401.33 3584.29:3584.29:3584.29 +IOPATH B[3] O[26] 3564.21:3564.21:3564.21 3764.5:3764.5:3764.5 +IOPATH B[3] O[27] 3555.18:3555.18:3555.18 3719.63:3719.63:3719.63 +IOPATH B[3] O[28] 3485.98:3485.98:3485.98 3643.54:3643.54:3643.54 +IOPATH B[3] O[29] 3571.13:3571.13:3571.13 3731.67:3731.67:3731.67 +IOPATH B[3] O[30] 3638.13:3638.13:3638.13 3768.12:3768.12:3768.12 +IOPATH B[3] O[31] 3688.54:3688.54:3688.54 3778.66:3778.66:3778.66 +IOPATH B[4] ACCUMCO 2237.36:2237.36:2237.36 2490.99:2490.99:2490.99 +IOPATH B[4] CO 2809.76:2809.76:2809.76 3036.97:3036.97:3036.97 +IOPATH B[4] O[4] 1703.16:1703.16:1703.16 1855.18:1855.18:1855.18 +IOPATH B[4] O[5] 1877.41:1877.41:1877.41 2024.12:2024.12:2024.12 +IOPATH B[4] O[6] 2018.65:2018.65:2018.65 2176.1:2176.1:2176.1 +IOPATH B[4] O[7] 2076.72:2076.72:2076.72 2225.04:2225.04:2225.04 +IOPATH B[4] O[8] 2243.5:2243.5:2243.5 2423.82:2423.82:2423.82 +IOPATH B[4] O[9] 2373.18:2373.18:2373.18 2573.27:2573.27:2573.27 +IOPATH B[4] O[10] 2439.18:2439.18:2439.18 2619.24:2619.24:2619.24 +IOPATH B[4] O[11] 2665.59:2665.59:2665.59 2839.97:2839.97:2839.97 +IOPATH B[4] O[12] 2460.52:2460.52:2460.52 2613.9:2613.9:2613.9 +IOPATH B[4] O[13] 2572.57:2572.57:2572.57 2733.51:2733.51:2733.51 +IOPATH B[4] O[14] 2897.53:2897.53:2897.53 3076.7:3076.7:3076.7 +IOPATH B[4] O[15] 2808.36:2808.36:2808.36 3001.73:3001.73:3001.73 +IOPATH B[4] O[16] 3064.93:3064.93:3064.93 3315.68:3315.68:3315.68 +IOPATH B[4] O[17] 3164.21:3164.21:3164.21 3387.95:3387.95:3387.95 +IOPATH B[4] O[18] 3295.2:3295.2:3295.2 3545.65:3545.65:3545.65 +IOPATH B[4] O[19] 3473.94:3473.94:3473.94 3664.89:3664.89:3664.89 +IOPATH B[4] O[20] 3349.77:3349.77:3349.77 3520.94:3520.94:3520.94 +IOPATH B[4] O[21] 3285.84:3285.84:3285.84 3451.38:3451.38:3451.38 +IOPATH B[4] O[22] 3451.6:3451.6:3451.6 3657.54:3657.54:3657.54 +IOPATH B[4] O[23] 3511.72:3511.72:3511.72 3688.63:3688.63:3688.63 +IOPATH B[4] O[24] 3425.81:3425.81:3425.81 3583.24:3583.24:3583.24 +IOPATH B[4] O[25] 3538.79:3538.79:3538.79 3721.76:3721.76:3721.76 +IOPATH B[4] O[26] 3701.68:3701.68:3701.68 3901.97:3901.97:3901.97 +IOPATH B[4] O[27] 3692.64:3692.64:3692.64 3857.1:3857.1:3857.1 +IOPATH B[4] O[28] 3623.44:3623.44:3623.44 3781:3781:3781 +IOPATH B[4] O[29] 3708.6:3708.6:3708.6 3869.14:3869.14:3869.14 +IOPATH B[4] O[30] 3775.6:3775.6:3775.6 3905.59:3905.59:3905.59 +IOPATH B[4] O[31] 3826:3826:3826 3916.13:3916.13:3916.13 +IOPATH B[5] ACCUMCO 2235.74:2235.74:2235.74 2548.46:2548.46:2548.46 +IOPATH B[5] CO 2808.14:2808.14:2808.14 3094.45:3094.45:3094.45 +IOPATH B[5] O[5] 1714.18:1714.18:1714.18 1887.16:1887.16:1887.16 +IOPATH B[5] O[6] 1906.2:1906.2:1906.2 2063.65:2063.65:2063.65 +IOPATH B[5] O[7] 1964.27:1964.27:1964.27 2112.6:2112.6:2112.6 +IOPATH B[5] O[8] 2301:2301:2301 2481.32:2481.32:2481.32 +IOPATH B[5] O[9] 2430.68:2430.68:2430.68 2630.77:2630.77:2630.77 +IOPATH B[5] O[10] 2496.68:2496.68:2496.68 2676.74:2676.74:2676.74 +IOPATH B[5] O[11] 2723.09:2723.09:2723.09 2897.47:2897.47:2897.47 +IOPATH B[5] O[12] 2518.02:2518.02:2518.02 2671.4:2671.4:2671.4 +IOPATH B[5] O[13] 2630.07:2630.07:2630.07 2791.01:2791.01:2791.01 +IOPATH B[5] O[14] 2955.03:2955.03:2955.03 3134.2:3134.2:3134.2 +IOPATH B[5] O[15] 2865.86:2865.86:2865.86 3059.23:3059.23:3059.23 +IOPATH B[5] O[16] 3122.4:3122.4:3122.4 3373.16:3373.16:3373.16 +IOPATH B[5] O[17] 3221.69:3221.69:3221.69 3445.42:3445.42:3445.42 +IOPATH B[5] O[18] 3352.68:3352.68:3352.68 3603.13:3603.13:3603.13 +IOPATH B[5] O[19] 3531.42:3531.42:3531.42 3722.36:3722.36:3722.36 +IOPATH B[5] O[20] 3407.24:3407.24:3407.24 3578.42:3578.42:3578.42 +IOPATH B[5] O[21] 3343.32:3343.32:3343.32 3508.85:3508.85:3508.85 +IOPATH B[5] O[22] 3509.07:3509.07:3509.07 3715.01:3715.01:3715.01 +IOPATH B[5] O[23] 3569.2:3569.2:3569.2 3746.11:3746.11:3746.11 +IOPATH B[5] O[24] 3483.28:3483.28:3483.28 3640.71:3640.71:3640.71 +IOPATH B[5] O[25] 3596.27:3596.27:3596.27 3779.23:3779.23:3779.23 +IOPATH B[5] O[26] 3759.15:3759.15:3759.15 3959.44:3959.44:3959.44 +IOPATH B[5] O[27] 3750.12:3750.12:3750.12 3914.57:3914.57:3914.57 +IOPATH B[5] O[28] 3680.92:3680.92:3680.92 3838.48:3838.48:3838.48 +IOPATH B[5] O[29] 3766.07:3766.07:3766.07 3926.61:3926.61:3926.61 +IOPATH B[5] O[30] 3833.07:3833.07:3833.07 3963.06:3963.06:3963.06 +IOPATH B[5] O[31] 3883.48:3883.48:3883.48 3973.6:3973.6:3973.6 +IOPATH B[6] ACCUMCO 2210.53:2210.53:2210.53 2477.51:2477.51:2477.51 +IOPATH B[6] CO 2782.93:2782.93:2782.93 3023.5:3023.5:3023.5 +IOPATH B[6] O[6] 1748.02:1748.02:1748.02 1931.53:1931.53:1931.53 +IOPATH B[6] O[7] 1856.64:1856.64:1856.64 2004.97:2004.97:2004.97 +IOPATH B[6] O[8] 2230.05:2230.05:2230.05 2410.37:2410.37:2410.37 +IOPATH B[6] O[9] 2359.73:2359.73:2359.73 2559.82:2559.82:2559.82 +IOPATH B[6] O[10] 2425.74:2425.74:2425.74 2605.79:2605.79:2605.79 +IOPATH B[6] O[11] 2652.14:2652.14:2652.14 2826.52:2826.52:2826.52 +IOPATH B[6] O[12] 2447.08:2447.08:2447.08 2600.45:2600.45:2600.45 +IOPATH B[6] O[13] 2559.13:2559.13:2559.13 2720.06:2720.06:2720.06 +IOPATH B[6] O[14] 2884.09:2884.09:2884.09 3063.26:3063.26:3063.26 +IOPATH B[6] O[15] 2794.92:2794.92:2794.92 2988.28:2988.28:2988.28 +IOPATH B[6] O[16] 3051.45:3051.45:3051.45 3302.21:3302.21:3302.21 +IOPATH B[6] O[17] 3150.74:3150.74:3150.74 3374.47:3374.47:3374.47 +IOPATH B[6] O[18] 3281.73:3281.73:3281.73 3532.18:3532.18:3532.18 +IOPATH B[6] O[19] 3460.47:3460.47:3460.47 3651.41:3651.41:3651.41 +IOPATH B[6] O[20] 3336.29:3336.29:3336.29 3507.47:3507.47:3507.47 +IOPATH B[6] O[21] 3272.37:3272.37:3272.37 3437.9:3437.9:3437.9 +IOPATH B[6] O[22] 3438.12:3438.12:3438.12 3644.06:3644.06:3644.06 +IOPATH B[6] O[23] 3498.25:3498.25:3498.25 3675.16:3675.16:3675.16 +IOPATH B[6] O[24] 3412.34:3412.34:3412.34 3569.76:3569.76:3569.76 +IOPATH B[6] O[25] 3525.32:3525.32:3525.32 3708.28:3708.28:3708.28 +IOPATH B[6] O[26] 3688.2:3688.2:3688.2 3888.49:3888.49:3888.49 +IOPATH B[6] O[27] 3679.17:3679.17:3679.17 3843.62:3843.62:3843.62 +IOPATH B[6] O[28] 3609.97:3609.97:3609.97 3767.53:3767.53:3767.53 +IOPATH B[6] O[29] 3695.13:3695.13:3695.13 3855.66:3855.66:3855.66 +IOPATH B[6] O[30] 3762.12:3762.12:3762.12 3892.11:3892.11:3892.11 +IOPATH B[6] O[31] 3812.53:3812.53:3812.53 3902.65:3902.65:3902.65 +IOPATH B[7] ACCUMCO 2154.2:2154.2:2154.2 2390.41:2390.41:2390.41 +IOPATH B[7] CO 2726.61:2726.61:2726.61 2936.4:2936.4:2936.4 +IOPATH B[7] O[7] 1683.68:1683.68:1683.68 1856.36:1856.36:1856.36 +IOPATH B[7] O[8] 2144.55:2144.55:2144.55 2324.87:2324.87:2324.87 +IOPATH B[7] O[9] 2274.23:2274.23:2274.23 2474.32:2474.32:2474.32 +IOPATH B[7] O[10] 2340.23:2340.23:2340.23 2520.29:2520.29:2520.29 +IOPATH B[7] O[11] 2566.64:2566.64:2566.64 2741.02:2741.02:2741.02 +IOPATH B[7] O[12] 2361.57:2361.57:2361.57 2514.94:2514.94:2514.94 +IOPATH B[7] O[13] 2473.62:2473.62:2473.62 2634.56:2634.56:2634.56 +IOPATH B[7] O[14] 2798.58:2798.58:2798.58 2977.75:2977.75:2977.75 +IOPATH B[7] O[15] 2709.41:2709.41:2709.41 2902.78:2902.78:2902.78 +IOPATH B[7] O[16] 2964.35:2964.35:2964.35 3215.11:3215.11:3215.11 +IOPATH B[7] O[17] 3063.63:3063.63:3063.63 3287.37:3287.37:3287.37 +IOPATH B[7] O[18] 3194.62:3194.62:3194.62 3445.07:3445.07:3445.07 +IOPATH B[7] O[19] 3373.36:3373.36:3373.36 3564.31:3564.31:3564.31 +IOPATH B[7] O[20] 3249.19:3249.19:3249.19 3420.36:3420.36:3420.36 +IOPATH B[7] O[21] 3185.27:3185.27:3185.27 3350.8:3350.8:3350.8 +IOPATH B[7] O[22] 3351.02:3351.02:3351.02 3556.96:3556.96:3556.96 +IOPATH B[7] O[23] 3411.15:3411.15:3411.15 3588.06:3588.06:3588.06 +IOPATH B[7] O[24] 3325.23:3325.23:3325.23 3482.66:3482.66:3482.66 +IOPATH B[7] O[25] 3438.22:3438.22:3438.22 3621.18:3621.18:3621.18 +IOPATH B[7] O[26] 3601.1:3601.1:3601.1 3801.39:3801.39:3801.39 +IOPATH B[7] O[27] 3592.07:3592.07:3592.07 3756.52:3756.52:3756.52 +IOPATH B[7] O[28] 3522.87:3522.87:3522.87 3680.43:3680.43:3680.43 +IOPATH B[7] O[29] 3608.02:3608.02:3608.02 3768.56:3768.56:3768.56 +IOPATH B[7] O[30] 3675.02:3675.02:3675.02 3805.01:3805.01:3805.01 +IOPATH B[7] O[31] 3725.43:3725.43:3725.43 3815.55:3815.55:3815.55 +IOPATH B[8] ACCUMCO 2082.38:2082.38:2082.38 2250.27:2250.27:2250.27 +IOPATH B[8] CO 2654.79:2654.79:2654.79 2796.26:2796.26:2796.26 +IOPATH B[8] O[8] 1671.5:1671.5:1671.5 1844.09:1844.09:1844.09 +IOPATH B[8] O[9] 1825.3:1825.3:1825.3 2018.23:2018.23:2018.23 +IOPATH B[8] O[10] 1884.14:1884.14:1884.14 2064.2:2064.2:2064.2 +IOPATH B[8] O[11] 2110.55:2110.55:2110.55 2284.93:2284.93:2284.93 +IOPATH B[8] O[12] 2138.04:2138.04:2138.04 2291.31:2291.31:2291.31 +IOPATH B[8] O[13] 2250:2250:2250 2410.94:2410.94:2410.94 +IOPATH B[8] O[14] 2574.96:2574.96:2574.96 2754.13:2754.13:2754.13 +IOPATH B[8] O[15] 2485.79:2485.79:2485.79 2679.15:2679.15:2679.15 +IOPATH B[8] O[16] 2824.21:2824.21:2824.21 3074.97:3074.97:3074.97 +IOPATH B[8] O[17] 2923.5:2923.5:2923.5 3147.24:3147.24:3147.24 +IOPATH B[8] O[18] 3054.49:3054.49:3054.49 3304.94:3304.94:3304.94 +IOPATH B[8] O[19] 3233.23:3233.23:3233.23 3424.18:3424.18:3424.18 +IOPATH B[8] O[20] 3109.06:3109.06:3109.06 3280.23:3280.23:3280.23 +IOPATH B[8] O[21] 3045.13:3045.13:3045.13 3210.66:3210.66:3210.66 +IOPATH B[8] O[22] 3210.89:3210.89:3210.89 3416.82:3416.82:3416.82 +IOPATH B[8] O[23] 3271.01:3271.01:3271.01 3447.92:3447.92:3447.92 +IOPATH B[8] O[24] 3185.1:3185.1:3185.1 3342.52:3342.52:3342.52 +IOPATH B[8] O[25] 3298.08:3298.08:3298.08 3481.04:3481.04:3481.04 +IOPATH B[8] O[26] 3460.97:3460.97:3460.97 3661.25:3661.25:3661.25 +IOPATH B[8] O[27] 3451.93:3451.93:3451.93 3616.38:3616.38:3616.38 +IOPATH B[8] O[28] 3382.73:3382.73:3382.73 3540.29:3540.29:3540.29 +IOPATH B[8] O[29] 3467.89:3467.89:3467.89 3628.42:3628.42:3628.42 +IOPATH B[8] O[30] 3534.88:3534.88:3534.88 3664.87:3664.87:3664.87 +IOPATH B[8] O[31] 3585.29:3585.29:3585.29 3675.41:3675.41:3675.41 +IOPATH B[9] ACCUMCO 2180.6:2180.6:2180.6 2302:2302:2302 +IOPATH B[9] CO 2753:2753:2753 2847.99:2847.99:2847.99 +IOPATH B[9] O[9] 1789.81:1789.81:1789.81 1973.74:1973.74:1973.74 +IOPATH B[9] O[10] 1879.05:1879.05:1879.05 2043.83:2043.83:2043.83 +IOPATH B[9] O[11] 2093.51:2093.51:2093.51 2250.18:2250.18:2250.18 +IOPATH B[9] O[12] 2189.76:2189.76:2189.76 2343.04:2343.04:2343.04 +IOPATH B[9] O[13] 2301.72:2301.72:2301.72 2462.66:2462.66:2462.66 +IOPATH B[9] O[14] 2626.68:2626.68:2626.68 2805.86:2805.86:2805.86 +IOPATH B[9] O[15] 2537.52:2537.52:2537.52 2730.88:2730.88:2730.88 +IOPATH B[9] O[16] 2882.91:2882.91:2882.91 3126.7:3126.7:3126.7 +IOPATH B[9] O[17] 2975.23:2975.23:2975.23 3198.96:3198.96:3198.96 +IOPATH B[9] O[18] 3106.22:3106.22:3106.22 3356.67:3356.67:3356.67 +IOPATH B[9] O[19] 3284.96:3284.96:3284.96 3475.91:3475.91:3475.91 +IOPATH B[9] O[20] 3160.78:3160.78:3160.78 3331.96:3331.96:3331.96 +IOPATH B[9] O[21] 3096.86:3096.86:3096.86 3262.39:3262.39:3262.39 +IOPATH B[9] O[22] 3262.61:3262.61:3262.61 3468.55:3468.55:3468.55 +IOPATH B[9] O[23] 3322.74:3322.74:3322.74 3499.65:3499.65:3499.65 +IOPATH B[9] O[24] 3236.83:3236.83:3236.83 3394.26:3394.26:3394.26 +IOPATH B[9] O[25] 3349.81:3349.81:3349.81 3532.77:3532.77:3532.77 +IOPATH B[9] O[26] 3512.69:3512.69:3512.69 3712.98:3712.98:3712.98 +IOPATH B[9] O[27] 3503.66:3503.66:3503.66 3668.12:3668.12:3668.12 +IOPATH B[9] O[28] 3434.46:3434.46:3434.46 3592.02:3592.02:3592.02 +IOPATH B[9] O[29] 3519.62:3519.62:3519.62 3680.15:3680.15:3680.15 +IOPATH B[9] O[30] 3586.61:3586.61:3586.61 3716.6:3716.6:3716.6 +IOPATH B[9] O[31] 3637.02:3637.02:3637.02 3727.14:3727.14:3727.14 +IOPATH B[10] ACCUMCO 2131.7:2131.7:2131.7 2257.38:2257.38:2257.38 +IOPATH B[10] CO 2704.11:2704.11:2704.11 2803.36:2803.36:2803.36 +IOPATH B[10] O[10] 1704.15:1704.15:1704.15 1886.27:1886.27:1886.27 +IOPATH B[10] O[11] 1957.26:1957.26:1957.26 2131.63:2131.63:2131.63 +IOPATH B[10] O[12] 2118.23:2118.23:2118.23 2271.51:2271.51:2271.51 +IOPATH B[10] O[13] 2230.19:2230.19:2230.19 2391.13:2391.13:2391.13 +IOPATH B[10] O[14] 2555.15:2555.15:2555.15 2734.32:2734.32:2734.32 +IOPATH B[10] O[15] 2465.98:2465.98:2465.98 2659.35:2659.35:2659.35 +IOPATH B[10] O[16] 2834.01:2834.01:2834.01 3082.08:3082.08:3082.08 +IOPATH B[10] O[17] 2930.6:2930.6:2930.6 3154.34:3154.34:3154.34 +IOPATH B[10] O[18] 3061.59:3061.59:3061.59 3312.04:3312.04:3312.04 +IOPATH B[10] O[19] 3240.33:3240.33:3240.33 3431.28:3431.28:3431.28 +IOPATH B[10] O[20] 3116.16:3116.16:3116.16 3287.33:3287.33:3287.33 +IOPATH B[10] O[21] 3052.24:3052.24:3052.24 3217.77:3217.77:3217.77 +IOPATH B[10] O[22] 3217.99:3217.99:3217.99 3423.93:3423.93:3423.93 +IOPATH B[10] O[23] 3278.12:3278.12:3278.12 3455.03:3455.03:3455.03 +IOPATH B[10] O[24] 3192.2:3192.2:3192.2 3349.63:3349.63:3349.63 +IOPATH B[10] O[25] 3305.19:3305.19:3305.19 3488.15:3488.15:3488.15 +IOPATH B[10] O[26] 3468.07:3468.07:3468.07 3668.36:3668.36:3668.36 +IOPATH B[10] O[27] 3459.04:3459.04:3459.04 3623.49:3623.49:3623.49 +IOPATH B[10] O[28] 3389.83:3389.83:3389.83 3547.39:3547.39:3547.39 +IOPATH B[10] O[29] 3474.99:3474.99:3474.99 3635.53:3635.53:3635.53 +IOPATH B[10] O[30] 3541.99:3541.99:3541.99 3671.98:3671.98:3671.98 +IOPATH B[10] O[31] 3592.39:3592.39:3592.39 3682.52:3682.52:3682.52 +IOPATH B[11] ACCUMCO 2230.14:2230.14:2230.14 2319.93:2319.93:2319.93 +IOPATH B[11] CO 2802.55:2802.55:2802.55 2865.92:2865.92:2865.92 +IOPATH B[11] O[11] 1953.7:1953.7:1953.7 2110.38:2110.38:2110.38 +IOPATH B[11] O[12] 2188.36:2188.36:2188.36 2326.76:2326.76:2326.76 +IOPATH B[11] O[13] 2286.82:2286.82:2286.82 2433.22:2433.22:2433.22 +IOPATH B[11] O[14] 2597.15:2597.15:2597.15 2776.41:2776.41:2776.41 +IOPATH B[11] O[15] 2508.07:2508.07:2508.07 2701.44:2701.44:2701.44 +IOPATH B[11] O[16] 2932.45:2932.45:2932.45 3168.31:3168.31:3168.31 +IOPATH B[11] O[17] 3019.63:3019.63:3019.63 3227.88:3227.88:3227.88 +IOPATH B[11] O[18] 3135.08:3135.08:3135.08 3374.6:3374.6:3374.6 +IOPATH B[11] O[19] 3303.1:3303.1:3303.1 3493.84:3493.84:3493.84 +IOPATH B[11] O[20] 3178.72:3178.72:3178.72 3349.89:3349.89:3349.89 +IOPATH B[11] O[21] 3114.79:3114.79:3114.79 3280.32:3280.32:3280.32 +IOPATH B[11] O[22] 3280.55:3280.55:3280.55 3486.48:3486.48:3486.48 +IOPATH B[11] O[23] 3340.67:3340.67:3340.67 3517.58:3517.58:3517.58 +IOPATH B[11] O[24] 3254.76:3254.76:3254.76 3412.19:3412.19:3412.19 +IOPATH B[11] O[25] 3367.74:3367.74:3367.74 3550.7:3550.7:3550.7 +IOPATH B[11] O[26] 3530.63:3530.63:3530.63 3730.91:3730.91:3730.91 +IOPATH B[11] O[27] 3521.59:3521.59:3521.59 3686.05:3686.05:3686.05 +IOPATH B[11] O[28] 3452.39:3452.39:3452.39 3609.95:3609.95:3609.95 +IOPATH B[11] O[29] 3537.55:3537.55:3537.55 3698.08:3698.08:3698.08 +IOPATH B[11] O[30] 3604.55:3604.55:3604.55 3734.53:3734.53:3734.53 +IOPATH B[11] O[31] 3654.95:3654.95:3654.95 3745.07:3745.07:3745.07 +IOPATH B[12] ACCUMCO 2262.52:2262.52:2262.52 2449.83:2449.83:2449.83 +IOPATH B[12] CO 2834.93:2834.93:2834.93 2995.82:2995.82:2995.82 +IOPATH B[12] O[12] 1849.2:1849.2:1849.2 1987.6:1987.6:1987.6 +IOPATH B[12] O[13] 1984.24:1984.24:1984.24 2129.29:2129.29:2129.29 +IOPATH B[12] O[14] 2294.58:2294.58:2294.58 2458.88:2458.88:2458.88 +IOPATH B[12] O[15] 2192.33:2192.33:2192.33 2373.47:2373.47:2373.47 +IOPATH B[12] O[16] 3023.77:3023.77:3023.77 3274.53:3274.53:3274.53 +IOPATH B[12] O[17] 3123.06:3123.06:3123.06 3346.8:3346.8:3346.8 +IOPATH B[12] O[18] 3254.05:3254.05:3254.05 3504.5:3504.5:3504.5 +IOPATH B[12] O[19] 3432.79:3432.79:3432.79 3623.74:3623.74:3623.74 +IOPATH B[12] O[20] 3308.61:3308.61:3308.61 3479.79:3479.79:3479.79 +IOPATH B[12] O[21] 3244.69:3244.69:3244.69 3410.22:3410.22:3410.22 +IOPATH B[12] O[22] 3410.44:3410.44:3410.44 3616.38:3616.38:3616.38 +IOPATH B[12] O[23] 3470.57:3470.57:3470.57 3647.48:3647.48:3647.48 +IOPATH B[12] O[24] 3384.66:3384.66:3384.66 3542.08:3542.08:3542.08 +IOPATH B[12] O[25] 3497.64:3497.64:3497.64 3680.6:3680.6:3680.6 +IOPATH B[12] O[26] 3660.53:3660.53:3660.53 3860.81:3860.81:3860.81 +IOPATH B[12] O[27] 3651.49:3651.49:3651.49 3815.94:3815.94:3815.94 +IOPATH B[12] O[28] 3582.29:3582.29:3582.29 3739.85:3739.85:3739.85 +IOPATH B[12] O[29] 3667.45:3667.45:3667.45 3827.98:3827.98:3827.98 +IOPATH B[12] O[30] 3734.44:3734.44:3734.44 3864.43:3864.43:3864.43 +IOPATH B[12] O[31] 3784.85:3784.85:3784.85 3874.97:3874.97:3874.97 +IOPATH B[13] ACCUMCO 2138.46:2138.46:2138.46 2326.82:2326.82:2326.82 +IOPATH B[13] CO 2710.87:2710.87:2710.87 2872.8:2872.8:2872.8 +IOPATH B[13] O[13] 1720.64:1720.64:1720.64 1877.4:1877.4:1877.4 +IOPATH B[13] O[14] 2065.25:2065.25:2065.25 2244.42:2244.42:2244.42 +IOPATH B[13] O[15] 1976.08:1976.08:1976.08 2169.44:2169.44:2169.44 +IOPATH B[13] O[16] 2900.76:2900.76:2900.76 3151.51:3151.51:3151.51 +IOPATH B[13] O[17] 3000.04:3000.04:3000.04 3223.78:3223.78:3223.78 +IOPATH B[13] O[18] 3131.03:3131.03:3131.03 3381.48:3381.48:3381.48 +IOPATH B[13] O[19] 3309.77:3309.77:3309.77 3500.72:3500.72:3500.72 +IOPATH B[13] O[20] 3185.6:3185.6:3185.6 3356.77:3356.77:3356.77 +IOPATH B[13] O[21] 3121.68:3121.68:3121.68 3287.2:3287.2:3287.2 +IOPATH B[13] O[22] 3287.43:3287.43:3287.43 3493.37:3493.37:3493.37 +IOPATH B[13] O[23] 3347.55:3347.55:3347.55 3524.47:3524.47:3524.47 +IOPATH B[13] O[24] 3261.64:3261.64:3261.64 3419.07:3419.07:3419.07 +IOPATH B[13] O[25] 3374.62:3374.62:3374.62 3557.58:3557.58:3557.58 +IOPATH B[13] O[26] 3537.51:3537.51:3537.51 3737.8:3737.8:3737.8 +IOPATH B[13] O[27] 3528.47:3528.47:3528.47 3692.93:3692.93:3692.93 +IOPATH B[13] O[28] 3459.27:3459.27:3459.27 3616.83:3616.83:3616.83 +IOPATH B[13] O[29] 3544.43:3544.43:3544.43 3704.97:3704.97:3704.97 +IOPATH B[13] O[30] 3611.43:3611.43:3611.43 3741.41:3741.41:3741.41 +IOPATH B[13] O[31] 3661.83:3661.83:3661.83 3751.95:3751.95:3751.95 +IOPATH B[14] ACCUMCO 2102.15:2102.15:2102.15 2206.85:2206.85:2206.85 +IOPATH B[14] CO 2674.55:2674.55:2674.55 2752.84:2752.84:2752.84 +IOPATH B[14] O[14] 1893.12:1893.12:1893.12 2057.42:2057.42:2057.42 +IOPATH B[14] O[15] 1826.54:1826.54:1826.54 2002.41:2002.41:2002.41 +IOPATH B[14] O[16] 2804.45:2804.45:2804.45 3040.31:3040.31:3040.31 +IOPATH B[14] O[17] 2891.63:2891.63:2891.63 3103.84:3103.84:3103.84 +IOPATH B[14] O[18] 3011.07:3011.07:3011.07 3261.52:3261.52:3261.52 +IOPATH B[14] O[19] 3189.81:3189.81:3189.81 3380.76:3380.76:3380.76 +IOPATH B[14] O[20] 3065.64:3065.64:3065.64 3236.81:3236.81:3236.81 +IOPATH B[14] O[21] 3001.71:3001.71:3001.71 3167.24:3167.24:3167.24 +IOPATH B[14] O[22] 3167.47:3167.47:3167.47 3373.4:3373.4:3373.4 +IOPATH B[14] O[23] 3227.59:3227.59:3227.59 3404.5:3404.5:3404.5 +IOPATH B[14] O[24] 3141.68:3141.68:3141.68 3299.11:3299.11:3299.11 +IOPATH B[14] O[25] 3254.66:3254.66:3254.66 3437.62:3437.62:3437.62 +IOPATH B[14] O[26] 3417.55:3417.55:3417.55 3617.83:3617.83:3617.83 +IOPATH B[14] O[27] 3408.51:3408.51:3408.51 3572.97:3572.97:3572.97 +IOPATH B[14] O[28] 3339.31:3339.31:3339.31 3496.87:3496.87:3496.87 +IOPATH B[14] O[29] 3424.47:3424.47:3424.47 3585:3585:3585 +IOPATH B[14] O[30] 3491.47:3491.47:3491.47 3621.45:3621.45:3621.45 +IOPATH B[14] O[31] 3541.87:3541.87:3541.87 3631.99:3631.99:3631.99 +IOPATH B[15] ACCUMCO 2323.19:2323.19:2323.19 2463.13:2463.13:2463.13 +IOPATH B[15] CO 2895.59:2895.59:2895.59 3009.12:3009.12:3009.12 +IOPATH B[15] O[15] 1981.1:1981.1:1981.1 2199.56:2199.56:2199.56 +IOPATH B[15] O[16] 3037.07:3037.07:3037.07 3287.83:3287.83:3287.83 +IOPATH B[15] O[17] 3136.36:3136.36:3136.36 3360.1:3360.1:3360.1 +IOPATH B[15] O[18] 3267.35:3267.35:3267.35 3517.8:3517.8:3517.8 +IOPATH B[15] O[19] 3446.09:3446.09:3446.09 3637.04:3637.04:3637.04 +IOPATH B[15] O[20] 3321.92:3321.92:3321.92 3493.09:3493.09:3493.09 +IOPATH B[15] O[21] 3257.99:3257.99:3257.99 3423.52:3423.52:3423.52 +IOPATH B[15] O[22] 3423.75:3423.75:3423.75 3629.68:3629.68:3629.68 +IOPATH B[15] O[23] 3483.87:3483.87:3483.87 3660.78:3660.78:3660.78 +IOPATH B[15] O[24] 3397.96:3397.96:3397.96 3555.39:3555.39:3555.39 +IOPATH B[15] O[25] 3510.94:3510.94:3510.94 3693.9:3693.9:3693.9 +IOPATH B[15] O[26] 3673.83:3673.83:3673.83 3874.11:3874.11:3874.11 +IOPATH B[15] O[27] 3664.79:3664.79:3664.79 3829.25:3829.25:3829.25 +IOPATH B[15] O[28] 3595.59:3595.59:3595.59 3753.15:3753.15:3753.15 +IOPATH B[15] O[29] 3680.75:3680.75:3680.75 3841.29:3841.29:3841.29 +IOPATH B[15] O[30] 3747.75:3747.75:3747.75 3877.73:3877.73:3877.73 +IOPATH B[15] O[31] 3798.15:3798.15:3798.15 3888.27:3888.27:3888.27 +IOPATH C[0] ACCUMCO 1419.09:1419.09:1419.09 1811.28:1811.28:1811.28 +IOPATH C[0] CO 1991.5:1991.5:1991.5 2357.27:2357.27:2357.27 +IOPATH C[0] O[16] 1794.63:1794.63:1794.63 2039.34:2039.34:2039.34 +IOPATH C[0] O[17] 1919.5:1919.5:1919.5 2136.17:2136.17:2136.17 +IOPATH C[0] O[18] 2043.43:2043.43:2043.43 2293.88:2293.88:2293.88 +IOPATH C[0] O[19] 2222.17:2222.17:2222.17 2413.12:2413.12:2413.12 +IOPATH C[0] O[20] 2304.14:2304.14:2304.14 2475.31:2475.31:2475.31 +IOPATH C[0] O[21] 2240.21:2240.21:2240.21 2405.74:2405.74:2405.74 +IOPATH C[0] O[22] 2405.96:2405.96:2405.96 2611.9:2611.9:2611.9 +IOPATH C[0] O[23] 2466.09:2466.09:2466.09 2643:2643:2643 +IOPATH C[0] O[24] 2380.14:2380.14:2380.14 2537.57:2537.57:2537.57 +IOPATH C[0] O[25] 2493.12:2493.12:2493.12 2676.09:2676.09:2676.09 +IOPATH C[0] O[26] 2656.01:2656.01:2656.01 2856.3:2856.3:2856.3 +IOPATH C[0] O[27] 2646.98:2646.98:2646.98 2811.43:2811.43:2811.43 +IOPATH C[0] O[28] 2577.77:2577.77:2577.77 2735.33:2735.33:2735.33 +IOPATH C[0] O[29] 2662.93:2662.93:2662.93 2823.47:2823.47:2823.47 +IOPATH C[0] O[30] 2729.93:2729.93:2729.93 2859.92:2859.92:2859.92 +IOPATH C[0] O[31] 2780.33:2780.33:2780.33 2870.46:2870.46:2870.46 +IOPATH C[1] ACCUMCO 1602.24:1602.24:1602.24 1888.81:1888.81:1888.81 +IOPATH C[1] CO 2174.65:2174.65:2174.65 2434.79:2434.79:2434.79 +IOPATH C[1] O[17] 1839.51:1839.51:1839.51 2053.37:2053.37:2053.37 +IOPATH C[1] O[18] 1991.22:1991.22:1991.22 2235.89:2235.89:2235.89 +IOPATH C[1] O[19] 2164.17:2164.17:2164.17 2355.12:2355.12:2355.12 +IOPATH C[1] O[20] 2381.67:2381.67:2381.67 2552.84:2552.84:2552.84 +IOPATH C[1] O[21] 2317.74:2317.74:2317.74 2483.27:2483.27:2483.27 +IOPATH C[1] O[22] 2483.49:2483.49:2483.49 2689.43:2689.43:2689.43 +IOPATH C[1] O[23] 2543.62:2543.62:2543.62 2720.53:2720.53:2720.53 +IOPATH C[1] O[24] 2457.67:2457.67:2457.67 2615.1:2615.1:2615.1 +IOPATH C[1] O[25] 2570.65:2570.65:2570.65 2753.62:2753.62:2753.62 +IOPATH C[1] O[26] 2733.54:2733.54:2733.54 2933.83:2933.83:2933.83 +IOPATH C[1] O[27] 2724.5:2724.5:2724.5 2888.96:2888.96:2888.96 +IOPATH C[1] O[28] 2655.3:2655.3:2655.3 2812.86:2812.86:2812.86 +IOPATH C[1] O[29] 2740.46:2740.46:2740.46 2901:2901:2901 +IOPATH C[1] O[30] 2807.46:2807.46:2807.46 2937.45:2937.45:2937.45 +IOPATH C[1] O[31] 2857.86:2857.86:2857.86 2947.99:2947.99:2947.99 +IOPATH C[2] ACCUMCO 1542.97:1542.97:1542.97 1785.4:1785.4:1785.4 +IOPATH C[2] CO 2115.38:2115.38:2115.38 2331.39:2331.39:2331.39 +IOPATH C[2] O[18] 1820.77:1820.77:1820.77 2058.4:2058.4:2058.4 +IOPATH C[2] O[19] 2023.83:2023.83:2023.83 2201.73:2201.73:2201.73 +IOPATH C[2] O[20] 2278.27:2278.27:2278.27 2449.44:2449.44:2449.44 +IOPATH C[2] O[21] 2214.34:2214.34:2214.34 2379.87:2379.87:2379.87 +IOPATH C[2] O[22] 2380.09:2380.09:2380.09 2586.03:2586.03:2586.03 +IOPATH C[2] O[23] 2440.22:2440.22:2440.22 2617.13:2617.13:2617.13 +IOPATH C[2] O[24] 2354.27:2354.27:2354.27 2511.7:2511.7:2511.7 +IOPATH C[2] O[25] 2467.25:2467.25:2467.25 2650.21:2650.21:2650.21 +IOPATH C[2] O[26] 2630.14:2630.14:2630.14 2830.42:2830.42:2830.42 +IOPATH C[2] O[27] 2621.1:2621.1:2621.1 2785.56:2785.56:2785.56 +IOPATH C[2] O[28] 2551.9:2551.9:2551.9 2709.46:2709.46:2709.46 +IOPATH C[2] O[29] 2637.06:2637.06:2637.06 2797.59:2797.59:2797.59 +IOPATH C[2] O[30] 2704.05:2704.05:2704.05 2834.04:2834.04:2834.04 +IOPATH C[2] O[31] 2754.46:2754.46:2754.46 2844.58:2844.58:2844.58 +IOPATH C[3] ACCUMCO 1481.12:1481.12:1481.12 1739.98:1739.98:1739.98 +IOPATH C[3] CO 2053.53:2053.53:2053.53 2285.97:2285.97:2285.97 +IOPATH C[3] O[19] 1901.8:1901.8:1901.8 2090.53:2090.53:2090.53 +IOPATH C[3] O[20] 2233.45:2233.45:2233.45 2404.62:2404.62:2404.62 +IOPATH C[3] O[21] 2169.52:2169.52:2169.52 2335.05:2335.05:2335.05 +IOPATH C[3] O[22] 2335.27:2335.27:2335.27 2541.21:2541.21:2541.21 +IOPATH C[3] O[23] 2395.4:2395.4:2395.4 2572.31:2572.31:2572.31 +IOPATH C[3] O[24] 2309.44:2309.44:2309.44 2466.87:2466.87:2466.87 +IOPATH C[3] O[25] 2422.43:2422.43:2422.43 2605.39:2605.39:2605.39 +IOPATH C[3] O[26] 2585.31:2585.31:2585.31 2785.6:2785.6:2785.6 +IOPATH C[3] O[27] 2576.28:2576.28:2576.28 2740.73:2740.73:2740.73 +IOPATH C[3] O[28] 2507.08:2507.08:2507.08 2664.64:2664.64:2664.64 +IOPATH C[3] O[29] 2592.24:2592.24:2592.24 2752.77:2752.77:2752.77 +IOPATH C[3] O[30] 2659.23:2659.23:2659.23 2789.22:2789.22:2789.22 +IOPATH C[3] O[31] 2709.64:2709.64:2709.64 2799.76:2799.76:2799.76 +IOPATH C[4] ACCUMCO 1492.98:1492.98:1492.98 1845.62:1845.62:1845.62 +IOPATH C[4] CO 2065.38:2065.38:2065.38 2391.61:2391.61:2391.61 +IOPATH C[4] O[20] 1870.7:1870.7:1870.7 2032.24:2032.24:2032.24 +IOPATH C[4] O[21] 1832.25:1832.25:1832.25 1987.49:1987.49:1987.49 +IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65 +IOPATH C[4] O[23] 2047.84:2047.84:2047.84 2224.75:2224.75:2224.75 +IOPATH C[4] O[24] 2184.3:2184.3:2184.3 2341.72:2341.72:2341.72 +IOPATH C[4] O[25] 2297.27:2297.27:2297.27 2480.24:2480.24:2480.24 +IOPATH C[4] O[26] 2460.16:2460.16:2460.16 2660.45:2660.45:2660.45 +IOPATH C[4] O[27] 2451.13:2451.13:2451.13 2615.58:2615.58:2615.58 +IOPATH C[4] O[28] 2381.89:2381.89:2381.89 2539.45:2539.45:2539.45 +IOPATH C[4] O[29] 2467.05:2467.05:2467.05 2627.58:2627.58:2627.58 +IOPATH C[4] O[30] 2534.04:2534.04:2534.04 2664.03:2664.03:2664.03 +IOPATH C[4] O[31] 2584.45:2584.45:2584.45 2674.57:2674.57:2674.57 +IOPATH C[5] ACCUMCO 1510.36:1510.36:1510.36 1834.88:1834.88:1834.88 +IOPATH C[5] CO 2082.76:2082.76:2082.76 2380.87:2380.87:2380.87 +IOPATH C[5] O[21] 1684.53:1684.53:1684.53 1839.9:1839.9:1839.9 +IOPATH C[5] O[22] 1872.71:1872.71:1872.71 2070.8:2070.8:2070.8 +IOPATH C[5] O[23] 1924.99:1924.99:1924.99 2101.9:2101.9:2101.9 +IOPATH C[5] O[24] 2173.57:2173.57:2173.57 2331:2331:2331 +IOPATH C[5] O[25] 2286.55:2286.55:2286.55 2469.51:2469.51:2469.51 +IOPATH C[5] O[26] 2449.43:2449.43:2449.43 2649.72:2649.72:2649.72 +IOPATH C[5] O[27] 2440.4:2440.4:2440.4 2604.85:2604.85:2604.85 +IOPATH C[5] O[28] 2371.16:2371.16:2371.16 2528.72:2528.72:2528.72 +IOPATH C[5] O[29] 2456.32:2456.32:2456.32 2616.86:2616.86:2616.86 +IOPATH C[5] O[30] 2523.32:2523.32:2523.32 2653.3:2653.3:2653.3 +IOPATH C[5] O[31] 2573.72:2573.72:2573.72 2663.84:2663.84:2663.84 +IOPATH C[6] ACCUMCO 1504.31:1504.31:1504.31 1786.71:1786.71:1786.71 +IOPATH C[6] CO 2076.71:2076.71:2076.71 2332.69:2332.69:2332.69 +IOPATH C[6] O[22] 1757.48:1757.48:1757.48 1952.53:1952.53:1952.53 +IOPATH C[6] O[23] 1842.03:1842.03:1842.03 2008.05:2008.05:2008.05 +IOPATH C[6] O[24] 2125.39:2125.39:2125.39 2282.82:2282.82:2282.82 +IOPATH C[6] O[25] 2238.37:2238.37:2238.37 2421.33:2421.33:2421.33 +IOPATH C[6] O[26] 2401.26:2401.26:2401.26 2601.54:2601.54:2601.54 +IOPATH C[6] O[27] 2392.22:2392.22:2392.22 2556.67:2556.67:2556.67 +IOPATH C[6] O[28] 2322.98:2322.98:2322.98 2480.54:2480.54:2480.54 +IOPATH C[6] O[29] 2408.14:2408.14:2408.14 2568.68:2568.68:2568.68 +IOPATH C[6] O[30] 2475.14:2475.14:2475.14 2605.12:2605.12:2605.12 +IOPATH C[6] O[31] 2525.54:2525.54:2525.54 2615.66:2615.66:2615.66 +IOPATH C[7] ACCUMCO 1437.6:1437.6:1437.6 1712.07:1712.07:1712.07 +IOPATH C[7] CO 2010.01:2010.01:2010.01 2258.06:2258.06:2258.06 +IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36 +IOPATH C[7] O[24] 2051.96:2051.96:2051.96 2209.38:2209.38:2209.38 +IOPATH C[7] O[25] 2164.93:2164.93:2164.93 2347.89:2347.89:2347.89 +IOPATH C[7] O[26] 2327.82:2327.82:2327.82 2528.1:2528.1:2528.1 +IOPATH C[7] O[27] 2318.78:2318.78:2318.78 2483.24:2483.24:2483.24 +IOPATH C[7] O[28] 2249.54:2249.54:2249.54 2407.1:2407.1:2407.1 +IOPATH C[7] O[29] 2334.7:2334.7:2334.7 2495.23:2495.23:2495.23 +IOPATH C[7] O[30] 2401.69:2401.69:2401.69 2531.68:2531.68:2531.68 +IOPATH C[7] O[31] 2452.1:2452.1:2452.1 2542.22:2542.22:2542.22 +IOPATH C[8] ACCUMCO 1416.96:1416.96:1416.96 1632.52:1632.52:1632.52 +IOPATH C[8] CO 1989.36:1989.36:1989.36 2178.51:2178.51:2178.51 +IOPATH C[8] O[24] 1668.35:1668.35:1668.35 1816.04:1816.04:1816.04 +IOPATH C[8] O[25] 1805.04:1805.04:1805.04 1979.35:1979.35:1979.35 +IOPATH C[8] O[26] 1959.27:1959.27:1959.27 2159.56:2159.56:2159.56 +IOPATH C[8] O[27] 1950.24:1950.24:1950.24 2114.69:2114.69:2114.69 +IOPATH C[8] O[28] 2100.49:2100.49:2100.49 2258.05:2258.05:2258.05 +IOPATH C[8] O[29] 2185.64:2185.64:2185.64 2346.18:2346.18:2346.18 +IOPATH C[8] O[30] 2252.64:2252.64:2252.64 2382.63:2382.63:2382.63 +IOPATH C[8] O[31] 2303.05:2303.05:2303.05 2393.17:2393.17:2393.17 +IOPATH C[9] ACCUMCO 1413.27:1413.27:1413.27 1655.36:1655.36:1655.36 +IOPATH C[9] CO 1985.68:1985.68:1985.68 2201.35:2201.35:2201.35 +IOPATH C[9] O[25] 1663.42:1663.42:1663.42 1838.08:1838.08:1838.08 +IOPATH C[9] O[26] 1850.78:1850.78:1850.78 2043.25:2043.25:2043.25 +IOPATH C[9] O[27] 1833.93:1833.93:1833.93 1998.38:1998.38:1998.38 +IOPATH C[9] O[28] 2123.33:2123.33:2123.33 2280.88:2280.88:2280.88 +IOPATH C[9] O[29] 2208.48:2208.48:2208.48 2369.01:2369.01:2369.01 +IOPATH C[9] O[30] 2275.47:2275.47:2275.47 2405.46:2405.46:2405.46 +IOPATH C[9] O[31] 2325.88:2325.88:2325.88 2416:2416:2416 +IOPATH C[10] ACCUMCO 1439.36:1439.36:1439.36 1625.49:1625.49:1625.49 +IOPATH C[10] CO 2011.76:2011.76:2011.76 2171.47:2171.47:2171.47 +IOPATH C[10] O[26] 1745.33:1745.33:1745.33 1934.52:1934.52:1934.52 +IOPATH C[10] O[27] 1762.22:1762.22:1762.22 1914.07:1914.07:1914.07 +IOPATH C[10] O[28] 2084.01:2084.01:2084.01 2241.57:2241.57:2241.57 +IOPATH C[10] O[29] 2169.16:2169.16:2169.16 2329.7:2329.7:2329.7 +IOPATH C[10] O[30] 2236.16:2236.16:2236.16 2366.15:2366.15:2366.15 +IOPATH C[10] O[31] 2286.57:2286.57:2286.57 2376.69:2376.69:2376.69 +IOPATH C[11] ACCUMCO 1375.39:1375.39:1375.39 1569.95:1569.95:1569.95 +IOPATH C[11] CO 1947.8:1947.8:1947.8 2115.94:2115.94:2115.94 +IOPATH C[11] O[27] 1601.96:1601.96:1601.96 1764.23:1764.23:1764.23 +IOPATH C[11] O[28] 1996.83:1996.83:1996.83 2154.38:2154.38:2154.38 +IOPATH C[11] O[29] 2081.98:2081.98:2081.98 2242.52:2242.52:2242.52 +IOPATH C[11] O[30] 2148.98:2148.98:2148.98 2278.96:2278.96:2278.96 +IOPATH C[11] O[31] 2199.38:2199.38:2199.38 2289.5:2289.5:2289.5 +IOPATH C[12] ACCUMCO 1384.11:1384.11:1384.11 1542.03:1542.03:1542.03 +IOPATH C[12] CO 1956.51:1956.51:1956.51 2088.02:2088.02:2088.02 +IOPATH C[12] O[28] 1619.99:1619.99:1619.99 1773.03:1773.03:1773.03 +IOPATH C[12] O[29] 1728.76:1728.76:1728.76 1886:1886:1886 +IOPATH C[12] O[30] 1792.46:1792.46:1792.46 1922.44:1922.44:1922.44 +IOPATH C[12] O[31] 1842.86:1842.86:1842.86 1932.99:1932.99:1932.99 +IOPATH C[13] ACCUMCO 1451.99:1451.99:1451.99 1622.28:1622.28:1622.28 +IOPATH C[13] CO 2024.39:2024.39:2024.39 2168.27:2168.27:2168.27 +IOPATH C[13] O[29] 1659.3:1659.3:1659.3 1805.2:1805.2:1805.2 +IOPATH C[13] O[30] 1749.29:1749.29:1749.29 1866.4:1866.4:1866.4 +IOPATH C[13] O[31] 1786.82:1786.82:1786.82 1879.01:1879.01:1879.01 +IOPATH C[14] ACCUMCO 1417.99:1417.99:1417.99 1529.3:1529.3:1529.3 +IOPATH C[14] CO 1990.39:1990.39:1990.39 2075.29:2075.29:2075.29 +IOPATH C[14] O[30] 1590.67:1590.67:1590.67 1707.02:1707.02:1707.02 +IOPATH C[14] O[31] 1671.85:1671.85:1671.85 1756.05:1756.05:1756.05 +IOPATH C[15] ACCUMCO 1361.42:1361.42:1361.42 1473.03:1473.03:1473.03 +IOPATH C[15] CO 1933.83:1933.83:1933.83 2019.01:2019.01:2019.01 +IOPATH C[15] O[31] 1512.79:1512.79:1512.79 1605.4:1605.4:1605.4 +IOPATH CI ACCUMCO 1203.86:1203.86:1203.86 1274.67:1274.67:1274.67 +IOPATH CI CO 1776.26:1776.26:1776.26 1820.66:1820.66:1820.66 +IOPATH CI O[0] 1092.74:1092.74:1092.74 1210.39:1210.39:1210.39 +IOPATH CI O[1] 1151.6:1151.6:1151.6 1248.6:1248.6:1248.6 +IOPATH CI O[2] 1266.66:1266.66:1266.66 1391.98:1391.98:1391.98 +IOPATH CI O[3] 1363.02:1363.02:1363.02 1494.23:1494.23:1494.23 +IOPATH CI O[4] 1292.14:1292.14:1292.14 1418.99:1418.99:1418.99 +IOPATH CI O[5] 1416.3:1416.3:1416.3 1563.01:1563.01:1563.01 +IOPATH CI O[6] 1557.53:1557.53:1557.53 1714.98:1714.98:1714.98 +IOPATH CI O[7] 1615.6:1615.6:1615.6 1763.93:1763.93:1763.93 +IOPATH CI O[8] 1605.23:1605.23:1605.23 1785.55:1785.55:1785.55 +IOPATH CI O[9] 1734.92:1734.92:1734.92 1935.01:1935.01:1935.01 +IOPATH CI O[10] 1800.92:1800.92:1800.92 1980.98:1980.98:1980.98 +IOPATH CI O[11] 2027.33:2027.33:2027.33 2201.7:2201.7:2201.7 +IOPATH CI O[12] 1822.3:1822.3:1822.3 1975.67:1975.67:1975.67 +IOPATH CI O[13] 1934.35:1934.35:1934.35 2095.29:2095.29:2095.29 +IOPATH CI O[14] 2259.31:2259.31:2259.31 2438.48:2438.48:2438.48 +IOPATH CI O[15] 2170.14:2170.14:2170.14 2363.5:2363.5:2363.5 +IOPATH CI O[16] 1906.16:1906.16:1906.16 2142.02:2142.02:2142.02 +IOPATH CI O[17] 1993.34:1993.34:1993.34 2201.59:2201.59:2201.59 +IOPATH CI O[18] 2108.79:2108.79:2108.79 2343.75:2343.75:2343.75 +IOPATH CI O[19] 2276.81:2276.81:2276.81 2452.58:2452.58:2452.58 +IOPATH CI O[20] 2143.8:2143.8:2143.8 2304.63:2304.63:2304.63 +IOPATH CI O[21] 2069.53:2069.53:2069.53 2235.06:2235.06:2235.06 +IOPATH CI O[22] 2235.28:2235.28:2235.28 2441.22:2441.22:2441.22 +IOPATH CI O[23] 2295.41:2295.41:2295.41 2472.32:2472.32:2472.32 +IOPATH CI O[24] 2209.5:2209.5:2209.5 2366.92:2366.92:2366.92 +IOPATH CI O[25] 2322.48:2322.48:2322.48 2505.44:2505.44:2505.44 +IOPATH CI O[26] 2485.36:2485.36:2485.36 2685.65:2685.65:2685.65 +IOPATH CI O[27] 2476.33:2476.33:2476.33 2640.78:2640.78:2640.78 +IOPATH CI O[28] 2407.13:2407.13:2407.13 2564.69:2564.69:2564.69 +IOPATH CI O[29] 2492.29:2492.29:2492.29 2652.82:2652.82:2652.82 +IOPATH CI O[30] 2559.28:2559.28:2559.28 2689.27:2689.27:2689.27 +IOPATH CI O[31] 2609.69:2609.69:2609.69 2699.81:2699.81:2699.81 +IOPATH D[0] ACCUMCO 2039.92:2039.92:2039.92 2355.9:2355.9:2355.9 +IOPATH D[0] CO 2612.32:2612.32:2612.32 2901.89:2901.89:2901.89 +IOPATH D[0] O[0] 1569.52:1569.52:1569.52 1697.98:1697.98:1697.98 +IOPATH D[0] O[1] 1666.23:1666.23:1666.23 1771.27:1771.27:1771.27 +IOPATH D[0] O[2] 1789.34:1789.34:1789.34 1914.65:1914.65:1914.65 +IOPATH D[0] O[3] 1885.7:1885.7:1885.7 2016.9:2016.9:2016.9 +IOPATH D[0] O[4] 2020.43:2020.43:2020.43 2147.28:2147.28:2147.28 +IOPATH D[0] O[5] 2144.58:2144.58:2144.58 2291.29:2291.29:2291.29 +IOPATH D[0] O[6] 2285.82:2285.82:2285.82 2443.27:2443.27:2443.27 +IOPATH D[0] O[7] 2343.89:2343.89:2343.89 2492.21:2492.21:2492.21 +IOPATH D[0] O[8] 2333.49:2333.49:2333.49 2513.82:2513.82:2513.82 +IOPATH D[0] O[9] 2463.18:2463.18:2463.18 2663.27:2663.27:2663.27 +IOPATH D[0] O[10] 2529.19:2529.19:2529.19 2709.24:2709.24:2709.24 +IOPATH D[0] O[11] 2755.59:2755.59:2755.59 2929.97:2929.97:2929.97 +IOPATH D[0] O[12] 2550.56:2550.56:2550.56 2703.94:2703.94:2703.94 +IOPATH D[0] O[13] 2662.61:2662.61:2662.61 2823.55:2823.55:2823.55 +IOPATH D[0] O[14] 2987.57:2987.57:2987.57 3166.74:3166.74:3166.74 +IOPATH D[0] O[15] 2898.4:2898.4:2898.4 3091.77:3091.77:3091.77 +IOPATH D[0] O[16] 2929.84:2929.84:2929.84 3180.6:3180.6:3180.6 +IOPATH D[0] O[17] 3029.12:3029.12:3029.12 3252.86:3252.86:3252.86 +IOPATH D[0] O[18] 3160.11:3160.11:3160.11 3410.57:3410.57:3410.57 +IOPATH D[0] O[19] 3338.86:3338.86:3338.86 3529.8:3529.8:3529.8 +IOPATH D[0] O[20] 3214.68:3214.68:3214.68 3385.86:3385.86:3385.86 +IOPATH D[0] O[21] 3150.76:3150.76:3150.76 3316.29:3316.29:3316.29 +IOPATH D[0] O[22] 3316.51:3316.51:3316.51 3522.45:3522.45:3522.45 +IOPATH D[0] O[23] 3376.64:3376.64:3376.64 3553.55:3553.55:3553.55 +IOPATH D[0] O[24] 3290.72:3290.72:3290.72 3448.15:3448.15:3448.15 +IOPATH D[0] O[25] 3403.71:3403.71:3403.71 3586.67:3586.67:3586.67 +IOPATH D[0] O[26] 3566.59:3566.59:3566.59 3766.88:3766.88:3766.88 +IOPATH D[0] O[27] 3557.56:3557.56:3557.56 3722.01:3722.01:3722.01 +IOPATH D[0] O[28] 3488.36:3488.36:3488.36 3645.92:3645.92:3645.92 +IOPATH D[0] O[29] 3573.52:3573.52:3573.52 3734.05:3734.05:3734.05 +IOPATH D[0] O[30] 3640.51:3640.51:3640.51 3770.5:3770.5:3770.5 +IOPATH D[0] O[31] 3690.92:3690.92:3690.92 3781.04:3781.04:3781.04 +IOPATH D[1] ACCUMCO 2202.01:2202.01:2202.01 2418.31:2418.31:2418.31 +IOPATH D[1] CO 2774.42:2774.42:2774.42 2964.3:2964.3:2964.3 +IOPATH D[1] O[1] 1577.66:1577.66:1577.66 1677.46:1677.46:1677.46 +IOPATH D[1] O[2] 1727.25:1727.25:1727.25 1845.41:1845.41:1845.41 +IOPATH D[1] O[3] 1816.45:1816.45:1816.45 1947.65:1947.65:1947.65 +IOPATH D[1] O[4] 2082.85:2082.85:2082.85 2209.69:2209.69:2209.69 +IOPATH D[1] O[5] 2207:2207:2207 2353.71:2353.71:2353.71 +IOPATH D[1] O[6] 2348.23:2348.23:2348.23 2505.68:2505.68:2505.68 +IOPATH D[1] O[7] 2406.3:2406.3:2406.3 2554.63:2554.63:2554.63 +IOPATH D[1] O[8] 2395.91:2395.91:2395.91 2576.23:2576.23:2576.23 +IOPATH D[1] O[9] 2525.6:2525.6:2525.6 2725.69:2725.69:2725.69 +IOPATH D[1] O[10] 2591.6:2591.6:2591.6 2771.66:2771.66:2771.66 +IOPATH D[1] O[11] 2818.01:2818.01:2818.01 2992.38:2992.38:2992.38 +IOPATH D[1] O[12] 2612.98:2612.98:2612.98 2766.35:2766.35:2766.35 +IOPATH D[1] O[13] 2725.03:2725.03:2725.03 2885.97:2885.97:2885.97 +IOPATH D[1] O[14] 3049.99:3049.99:3049.99 3229.16:3229.16:3229.16 +IOPATH D[1] O[15] 2960.82:2960.82:2960.82 3154.18:3154.18:3154.18 +IOPATH D[1] O[16] 2992.25:2992.25:2992.25 3243.01:3243.01:3243.01 +IOPATH D[1] O[17] 3091.54:3091.54:3091.54 3315.27:3315.27:3315.27 +IOPATH D[1] O[18] 3222.53:3222.53:3222.53 3472.98:3472.98:3472.98 +IOPATH D[1] O[19] 3401.27:3401.27:3401.27 3592.22:3592.22:3592.22 +IOPATH D[1] O[20] 3277.09:3277.09:3277.09 3448.27:3448.27:3448.27 +IOPATH D[1] O[21] 3213.17:3213.17:3213.17 3378.7:3378.7:3378.7 +IOPATH D[1] O[22] 3378.92:3378.92:3378.92 3584.86:3584.86:3584.86 +IOPATH D[1] O[23] 3439.05:3439.05:3439.05 3615.96:3615.96:3615.96 +IOPATH D[1] O[24] 3353.14:3353.14:3353.14 3510.56:3510.56:3510.56 +IOPATH D[1] O[25] 3466.12:3466.12:3466.12 3649.08:3649.08:3649.08 +IOPATH D[1] O[26] 3629.01:3629.01:3629.01 3829.29:3829.29:3829.29 +IOPATH D[1] O[27] 3619.97:3619.97:3619.97 3784.42:3784.42:3784.42 +IOPATH D[1] O[28] 3550.77:3550.77:3550.77 3708.33:3708.33:3708.33 +IOPATH D[1] O[29] 3635.93:3635.93:3635.93 3796.46:3796.46:3796.46 +IOPATH D[1] O[30] 3702.92:3702.92:3702.92 3832.91:3832.91:3832.91 +IOPATH D[1] O[31] 3753.33:3753.33:3753.33 3843.45:3843.45:3843.45 +IOPATH D[2] ACCUMCO 2162.98:2162.98:2162.98 2336.7:2336.7:2336.7 +IOPATH D[2] CO 2735.39:2735.39:2735.39 2882.68:2882.68:2882.68 +IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2 +IOPATH D[2] O[3] 1699.35:1699.35:1699.35 1820.95:1820.95:1820.95 +IOPATH D[2] O[4] 2001.23:2001.23:2001.23 2128.07:2128.07:2128.07 +IOPATH D[2] O[5] 2125.38:2125.38:2125.38 2272.09:2272.09:2272.09 +IOPATH D[2] O[6] 2266.62:2266.62:2266.62 2424.07:2424.07:2424.07 +IOPATH D[2] O[7] 2324.69:2324.69:2324.69 2473.01:2473.01:2473.01 +IOPATH D[2] O[8] 2314.29:2314.29:2314.29 2494.62:2494.62:2494.62 +IOPATH D[2] O[9] 2443.98:2443.98:2443.98 2644.07:2644.07:2644.07 +IOPATH D[2] O[10] 2509.98:2509.98:2509.98 2690.04:2690.04:2690.04 +IOPATH D[2] O[11] 2736.39:2736.39:2736.39 2910.77:2910.77:2910.77 +IOPATH D[2] O[12] 2531.36:2531.36:2531.36 2684.74:2684.74:2684.74 +IOPATH D[2] O[13] 2643.41:2643.41:2643.41 2804.35:2804.35:2804.35 +IOPATH D[2] O[14] 2968.37:2968.37:2968.37 3147.54:3147.54:3147.54 +IOPATH D[2] O[15] 2879.2:2879.2:2879.2 3072.57:3072.57:3072.57 +IOPATH D[2] O[16] 2910.64:2910.64:2910.64 3161.39:3161.39:3161.39 +IOPATH D[2] O[17] 3009.92:3009.92:3009.92 3233.66:3233.66:3233.66 +IOPATH D[2] O[18] 3140.91:3140.91:3140.91 3391.36:3391.36:3391.36 +IOPATH D[2] O[19] 3319.65:3319.65:3319.65 3510.6:3510.6:3510.6 +IOPATH D[2] O[20] 3195.48:3195.48:3195.48 3366.65:3366.65:3366.65 +IOPATH D[2] O[21] 3131.56:3131.56:3131.56 3297.09:3297.09:3297.09 +IOPATH D[2] O[22] 3297.31:3297.31:3297.31 3503.25:3503.25:3503.25 +IOPATH D[2] O[23] 3357.43:3357.43:3357.43 3534.34:3534.34:3534.34 +IOPATH D[2] O[24] 3271.52:3271.52:3271.52 3428.95:3428.95:3428.95 +IOPATH D[2] O[25] 3384.5:3384.5:3384.5 3567.47:3567.47:3567.47 +IOPATH D[2] O[26] 3547.39:3547.39:3547.39 3747.68:3747.68:3747.68 +IOPATH D[2] O[27] 3538.35:3538.35:3538.35 3702.81:3702.81:3702.81 +IOPATH D[2] O[28] 3469.15:3469.15:3469.15 3626.71:3626.71:3626.71 +IOPATH D[2] O[29] 3554.31:3554.31:3554.31 3714.85:3714.85:3714.85 +IOPATH D[2] O[30] 3621.31:3621.31:3621.31 3751.29:3751.29:3751.29 +IOPATH D[2] O[31] 3671.71:3671.71:3671.71 3761.83:3761.83:3761.83 +IOPATH D[3] ACCUMCO 2089.97:2089.97:2089.97 2284.42:2284.42:2284.42 +IOPATH D[3] CO 2662.37:2662.37:2662.37 2830.4:2830.4:2830.4 +IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1690.72:1690.72:1690.72 +IOPATH D[3] O[4] 1949.81:1949.81:1949.81 2076.65:2076.65:2076.65 +IOPATH D[3] O[5] 2073.96:2073.96:2073.96 2220.67:2220.67:2220.67 +IOPATH D[3] O[6] 2215.2:2215.2:2215.2 2372.65:2372.65:2372.65 +IOPATH D[3] O[7] 2273.27:2273.27:2273.27 2421.59:2421.59:2421.59 +IOPATH D[3] O[8] 2262.87:2262.87:2262.87 2443.19:2443.19:2443.19 +IOPATH D[3] O[9] 2392.56:2392.56:2392.56 2592.65:2592.65:2592.65 +IOPATH D[3] O[10] 2458.56:2458.56:2458.56 2638.61:2638.61:2638.61 +IOPATH D[3] O[11] 2684.97:2684.97:2684.97 2859.34:2859.34:2859.34 +IOPATH D[3] O[12] 2479.94:2479.94:2479.94 2633.31:2633.31:2633.31 +IOPATH D[3] O[13] 2591.98:2591.98:2591.98 2752.93:2752.93:2752.93 +IOPATH D[3] O[14] 2916.95:2916.95:2916.95 3096.12:3096.12:3096.12 +IOPATH D[3] O[15] 2827.78:2827.78:2827.78 3021.14:3021.14:3021.14 +IOPATH D[3] O[16] 2858.36:2858.36:2858.36 3109.11:3109.11:3109.11 +IOPATH D[3] O[17] 2957.64:2957.64:2957.64 3181.38:3181.38:3181.38 +IOPATH D[3] O[18] 3088.63:3088.63:3088.63 3339.08:3339.08:3339.08 +IOPATH D[3] O[19] 3267.37:3267.37:3267.37 3458.32:3458.32:3458.32 +IOPATH D[3] O[20] 3143.2:3143.2:3143.2 3314.37:3314.37:3314.37 +IOPATH D[3] O[21] 3079.28:3079.28:3079.28 3244.81:3244.81:3244.81 +IOPATH D[3] O[22] 3245.03:3245.03:3245.03 3450.97:3450.97:3450.97 +IOPATH D[3] O[23] 3305.15:3305.15:3305.15 3482.07:3482.07:3482.07 +IOPATH D[3] O[24] 3219.24:3219.24:3219.24 3376.67:3376.67:3376.67 +IOPATH D[3] O[25] 3332.22:3332.22:3332.22 3515.19:3515.19:3515.19 +IOPATH D[3] O[26] 3495.11:3495.11:3495.11 3695.4:3695.4:3695.4 +IOPATH D[3] O[27] 3486.07:3486.07:3486.07 3650.53:3650.53:3650.53 +IOPATH D[3] O[28] 3416.87:3416.87:3416.87 3574.43:3574.43:3574.43 +IOPATH D[3] O[29] 3502.03:3502.03:3502.03 3662.57:3662.57:3662.57 +IOPATH D[3] O[30] 3569.03:3569.03:3569.03 3699.01:3699.01:3699.01 +IOPATH D[3] O[31] 3619.43:3619.43:3619.43 3709.55:3709.55:3709.55 +IOPATH D[4] ACCUMCO 2121.5:2121.5:2121.5 2388.24:2388.24:2388.24 +IOPATH D[4] CO 2693.91:2693.91:2693.91 2934.23:2934.23:2934.23 +IOPATH D[4] O[4] 1593.48:1593.48:1593.48 1716.48:1716.48:1716.48 +IOPATH D[4] O[5] 1740.38:1740.38:1740.38 1885.4:1885.4:1885.4 +IOPATH D[4] O[6] 1879.93:1879.93:1879.93 2037.38:2037.38:2037.38 +IOPATH D[4] O[7] 1938:1938:1938 2086.32:2086.32:2086.32 +IOPATH D[4] O[8] 2140.76:2140.76:2140.76 2321.07:2321.07:2321.07 +IOPATH D[4] O[9] 2270.43:2270.43:2270.43 2470.53:2470.53:2470.53 +IOPATH D[4] O[10] 2336.44:2336.44:2336.44 2516.49:2516.49:2516.49 +IOPATH D[4] O[11] 2562.85:2562.85:2562.85 2737.22:2737.22:2737.22 +IOPATH D[4] O[12] 2357.78:2357.78:2357.78 2511.15:2511.15:2511.15 +IOPATH D[4] O[13] 2469.83:2469.83:2469.83 2630.77:2630.77:2630.77 +IOPATH D[4] O[14] 2794.79:2794.79:2794.79 2973.96:2973.96:2973.96 +IOPATH D[4] O[15] 2705.62:2705.62:2705.62 2898.99:2898.99:2898.99 +IOPATH D[4] O[16] 2962.18:2962.18:2962.18 3212.94:3212.94:3212.94 +IOPATH D[4] O[17] 3061.47:3061.47:3061.47 3285.2:3285.2:3285.2 +IOPATH D[4] O[18] 3192.46:3192.46:3192.46 3442.91:3442.91:3442.91 +IOPATH D[4] O[19] 3371.2:3371.2:3371.2 3562.14:3562.14:3562.14 +IOPATH D[4] O[20] 3247.02:3247.02:3247.02 3418.2:3418.2:3418.2 +IOPATH D[4] O[21] 3183.1:3183.1:3183.1 3348.63:3348.63:3348.63 +IOPATH D[4] O[22] 3348.85:3348.85:3348.85 3554.79:3554.79:3554.79 +IOPATH D[4] O[23] 3408.98:3408.98:3408.98 3585.89:3585.89:3585.89 +IOPATH D[4] O[24] 3323.06:3323.06:3323.06 3480.49:3480.49:3480.49 +IOPATH D[4] O[25] 3436.05:3436.05:3436.05 3619.01:3619.01:3619.01 +IOPATH D[4] O[26] 3598.93:3598.93:3598.93 3799.22:3799.22:3799.22 +IOPATH D[4] O[27] 3589.9:3589.9:3589.9 3754.35:3754.35:3754.35 +IOPATH D[4] O[28] 3520.7:3520.7:3520.7 3678.26:3678.26:3678.26 +IOPATH D[4] O[29] 3605.86:3605.86:3605.86 3766.39:3766.39:3766.39 +IOPATH D[4] O[30] 3672.85:3672.85:3672.85 3802.84:3802.84:3802.84 +IOPATH D[4] O[31] 3723.26:3723.26:3723.26 3813.38:3813.38:3813.38 +IOPATH D[5] ACCUMCO 2183:2183:2183 2441.91:2441.91:2441.91 +IOPATH D[5] CO 2755.41:2755.41:2755.41 2987.89:2987.89:2987.89 +IOPATH D[5] O[5] 1644.75:1644.75:1644.75 1780.64:1780.64:1780.64 +IOPATH D[5] O[6] 1808.82:1808.82:1808.82 1957.12:1957.12:1957.12 +IOPATH D[5] O[7] 1857.32:1857.32:1857.32 2006.06:2006.06:2006.06 +IOPATH D[5] O[8] 2194.44:2194.44:2194.44 2374.76:2374.76:2374.76 +IOPATH D[5] O[9] 2324.12:2324.12:2324.12 2524.21:2524.21:2524.21 +IOPATH D[5] O[10] 2390.13:2390.13:2390.13 2570.18:2570.18:2570.18 +IOPATH D[5] O[11] 2616.53:2616.53:2616.53 2790.91:2790.91:2790.91 +IOPATH D[5] O[12] 2411.47:2411.47:2411.47 2564.84:2564.84:2564.84 +IOPATH D[5] O[13] 2523.52:2523.52:2523.52 2684.46:2684.46:2684.46 +IOPATH D[5] O[14] 2848.48:2848.48:2848.48 3027.65:3027.65:3027.65 +IOPATH D[5] O[15] 2759.31:2759.31:2759.31 2952.67:2952.67:2952.67 +IOPATH D[5] O[16] 3015.85:3015.85:3015.85 3266.6:3266.6:3266.6 +IOPATH D[5] O[17] 3115.13:3115.13:3115.13 3338.87:3338.87:3338.87 +IOPATH D[5] O[18] 3246.12:3246.12:3246.12 3496.57:3496.57:3496.57 +IOPATH D[5] O[19] 3424.86:3424.86:3424.86 3615.81:3615.81:3615.81 +IOPATH D[5] O[20] 3300.69:3300.69:3300.69 3471.86:3471.86:3471.86 +IOPATH D[5] O[21] 3236.76:3236.76:3236.76 3402.29:3402.29:3402.29 +IOPATH D[5] O[22] 3402.52:3402.52:3402.52 3608.46:3608.46:3608.46 +IOPATH D[5] O[23] 3462.64:3462.64:3462.64 3639.55:3639.55:3639.55 +IOPATH D[5] O[24] 3376.73:3376.73:3376.73 3534.16:3534.16:3534.16 +IOPATH D[5] O[25] 3489.71:3489.71:3489.71 3672.67:3672.67:3672.67 +IOPATH D[5] O[26] 3652.6:3652.6:3652.6 3852.88:3852.88:3852.88 +IOPATH D[5] O[27] 3643.56:3643.56:3643.56 3808.02:3808.02:3808.02 +IOPATH D[5] O[28] 3574.36:3574.36:3574.36 3731.92:3731.92:3731.92 +IOPATH D[5] O[29] 3659.52:3659.52:3659.52 3820.06:3820.06:3820.06 +IOPATH D[5] O[30] 3726.52:3726.52:3726.52 3856.5:3856.5:3856.5 +IOPATH D[5] O[31] 3776.92:3776.92:3776.92 3867.04:3867.04:3867.04 +IOPATH D[6] ACCUMCO 2120.12:2120.12:2120.12 2334.97:2334.97:2334.97 +IOPATH D[6] CO 2692.52:2692.52:2692.52 2880.96:2880.96:2880.96 +IOPATH D[6] O[6] 1641.59:1641.59:1641.59 1788.99:1788.99:1788.99 +IOPATH D[6] O[7] 1726.25:1726.25:1726.25 1862.41:1862.41:1862.41 +IOPATH D[6] O[8] 2087.51:2087.51:2087.51 2267.83:2267.83:2267.83 +IOPATH D[6] O[9] 2217.19:2217.19:2217.19 2417.28:2417.28:2417.28 +IOPATH D[6] O[10] 2283.2:2283.2:2283.2 2463.25:2463.25:2463.25 +IOPATH D[6] O[11] 2509.6:2509.6:2509.6 2683.98:2683.98:2683.98 +IOPATH D[6] O[12] 2304.54:2304.54:2304.54 2457.91:2457.91:2457.91 +IOPATH D[6] O[13] 2416.59:2416.59:2416.59 2577.53:2577.53:2577.53 +IOPATH D[6] O[14] 2741.55:2741.55:2741.55 2920.72:2920.72:2920.72 +IOPATH D[6] O[15] 2652.38:2652.38:2652.38 2845.74:2845.74:2845.74 +IOPATH D[6] O[16] 2908.91:2908.91:2908.91 3159.67:3159.67:3159.67 +IOPATH D[6] O[17] 3008.2:3008.2:3008.2 3231.93:3231.93:3231.93 +IOPATH D[6] O[18] 3139.19:3139.19:3139.19 3389.64:3389.64:3389.64 +IOPATH D[6] O[19] 3317.93:3317.93:3317.93 3508.87:3508.87:3508.87 +IOPATH D[6] O[20] 3193.75:3193.75:3193.75 3364.93:3364.93:3364.93 +IOPATH D[6] O[21] 3129.83:3129.83:3129.83 3295.36:3295.36:3295.36 +IOPATH D[6] O[22] 3295.58:3295.58:3295.58 3501.52:3501.52:3501.52 +IOPATH D[6] O[23] 3355.71:3355.71:3355.71 3532.62:3532.62:3532.62 +IOPATH D[6] O[24] 3269.8:3269.8:3269.8 3427.22:3427.22:3427.22 +IOPATH D[6] O[25] 3382.78:3382.78:3382.78 3565.74:3565.74:3565.74 +IOPATH D[6] O[26] 3545.66:3545.66:3545.66 3745.95:3745.95:3745.95 +IOPATH D[6] O[27] 3536.63:3536.63:3536.63 3701.09:3701.09:3701.09 +IOPATH D[6] O[28] 3467.43:3467.43:3467.43 3624.99:3624.99:3624.99 +IOPATH D[6] O[29] 3552.59:3552.59:3552.59 3713.12:3713.12:3713.12 +IOPATH D[6] O[30] 3619.58:3619.58:3619.58 3749.57:3749.57:3749.57 +IOPATH D[6] O[31] 3669.99:3669.99:3669.99 3760.11:3760.11:3760.11 +IOPATH D[7] ACCUMCO 2108.67:2108.67:2108.67 2306.64:2306.64:2306.64 +IOPATH D[7] CO 2681.07:2681.07:2681.07 2852.63:2852.63:2852.63 +IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54 +IOPATH D[7] O[8] 2066.58:2066.58:2066.58 2241.1:2241.1:2241.1 +IOPATH D[7] O[9] 2190.46:2190.46:2190.46 2390.56:2390.56:2390.56 +IOPATH D[7] O[10] 2256.47:2256.47:2256.47 2436.52:2436.52:2436.52 +IOPATH D[7] O[11] 2482.88:2482.88:2482.88 2657.25:2657.25:2657.25 +IOPATH D[7] O[12] 2277.8:2277.8:2277.8 2431.18:2431.18:2431.18 +IOPATH D[7] O[13] 2389.85:2389.85:2389.85 2550.79:2550.79:2550.79 +IOPATH D[7] O[14] 2714.82:2714.82:2714.82 2893.99:2893.99:2893.99 +IOPATH D[7] O[15] 2625.64:2625.64:2625.64 2819.01:2819.01:2819.01 +IOPATH D[7] O[16] 2880.59:2880.59:2880.59 3131.34:3131.34:3131.34 +IOPATH D[7] O[17] 2979.87:2979.87:2979.87 3203.61:3203.61:3203.61 +IOPATH D[7] O[18] 3110.86:3110.86:3110.86 3361.31:3361.31:3361.31 +IOPATH D[7] O[19] 3289.6:3289.6:3289.6 3480.55:3480.55:3480.55 +IOPATH D[7] O[20] 3165.43:3165.43:3165.43 3336.6:3336.6:3336.6 +IOPATH D[7] O[21] 3101.5:3101.5:3101.5 3267.03:3267.03:3267.03 +IOPATH D[7] O[22] 3267.26:3267.26:3267.26 3473.2:3473.2:3473.2 +IOPATH D[7] O[23] 3327.38:3327.38:3327.38 3504.29:3504.29:3504.29 +IOPATH D[7] O[24] 3241.47:3241.47:3241.47 3398.9:3398.9:3398.9 +IOPATH D[7] O[25] 3354.45:3354.45:3354.45 3537.41:3537.41:3537.41 +IOPATH D[7] O[26] 3517.34:3517.34:3517.34 3717.62:3717.62:3717.62 +IOPATH D[7] O[27] 3508.3:3508.3:3508.3 3672.76:3672.76:3672.76 +IOPATH D[7] O[28] 3439.1:3439.1:3439.1 3596.66:3596.66:3596.66 +IOPATH D[7] O[29] 3524.26:3524.26:3524.26 3684.8:3684.8:3684.8 +IOPATH D[7] O[30] 3591.26:3591.26:3591.26 3721.24:3721.24:3721.24 +IOPATH D[7] O[31] 3641.66:3641.66:3641.66 3731.78:3731.78:3731.78 +IOPATH D[8] ACCUMCO 2103.23:2103.23:2103.23 2237.68:2237.68:2237.68 +IOPATH D[8] CO 2675.63:2675.63:2675.63 2783.67:2783.67:2783.67 +IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38 +IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5 +IOPATH D[8] O[10] 1907.41:1907.41:1907.41 2087.47:2087.47:2087.47 +IOPATH D[8] O[11] 2133.82:2133.82:2133.82 2308.2:2308.2:2308.2 +IOPATH D[8] O[12] 2125.45:2125.45:2125.45 2278.72:2278.72:2278.72 +IOPATH D[8] O[13] 2237.41:2237.41:2237.41 2398.35:2398.35:2398.35 +IOPATH D[8] O[14] 2562.37:2562.37:2562.37 2741.54:2741.54:2741.54 +IOPATH D[8] O[15] 2473.2:2473.2:2473.2 2666.57:2666.57:2666.57 +IOPATH D[8] O[16] 2811.62:2811.62:2811.62 3062.38:3062.38:3062.38 +IOPATH D[8] O[17] 2910.91:2910.91:2910.91 3134.65:3134.65:3134.65 +IOPATH D[8] O[18] 3041.9:3041.9:3041.9 3292.35:3292.35:3292.35 +IOPATH D[8] O[19] 3220.64:3220.64:3220.64 3411.59:3411.59:3411.59 +IOPATH D[8] O[20] 3096.47:3096.47:3096.47 3267.64:3267.64:3267.64 +IOPATH D[8] O[21] 3032.54:3032.54:3032.54 3198.07:3198.07:3198.07 +IOPATH D[8] O[22] 3198.3:3198.3:3198.3 3404.23:3404.23:3404.23 +IOPATH D[8] O[23] 3258.42:3258.42:3258.42 3435.33:3435.33:3435.33 +IOPATH D[8] O[24] 3172.51:3172.51:3172.51 3329.94:3329.94:3329.94 +IOPATH D[8] O[25] 3285.49:3285.49:3285.49 3468.45:3468.45:3468.45 +IOPATH D[8] O[26] 3448.38:3448.38:3448.38 3648.66:3648.66:3648.66 +IOPATH D[8] O[27] 3439.34:3439.34:3439.34 3603.8:3603.8:3603.8 +IOPATH D[8] O[28] 3370.14:3370.14:3370.14 3527.7:3527.7:3527.7 +IOPATH D[8] O[29] 3455.3:3455.3:3455.3 3615.84:3615.84:3615.84 +IOPATH D[8] O[30] 3522.3:3522.3:3522.3 3652.28:3652.28:3652.28 +IOPATH D[8] O[31] 3572.7:3572.7:3572.7 3662.82:3662.82:3662.82 +IOPATH D[9] ACCUMCO 2120.66:2120.66:2120.66 2272.18:2272.18:2272.18 +IOPATH D[9] CO 2693.06:2693.06:2693.06 2818.17:2818.17:2818.17 +IOPATH D[9] O[9] 1729.76:1729.76:1729.76 1921.35:1921.35:1921.35 +IOPATH D[9] O[10] 1819.09:1819.09:1819.09 1991.92:1991.92:1991.92 +IOPATH D[9] O[11] 2038.27:2038.27:2038.27 2212.65:2212.65:2212.65 +IOPATH D[9] O[12] 2159.94:2159.94:2159.94 2313.22:2313.22:2313.22 +IOPATH D[9] O[13] 2271.9:2271.9:2271.9 2432.84:2432.84:2432.84 +IOPATH D[9] O[14] 2596.86:2596.86:2596.86 2776.04:2776.04:2776.04 +IOPATH D[9] O[15] 2507.69:2507.69:2507.69 2701.06:2701.06:2701.06 +IOPATH D[9] O[16] 2846.12:2846.12:2846.12 3096.88:3096.88:3096.88 +IOPATH D[9] O[17] 2945.4:2945.4:2945.4 3169.14:3169.14:3169.14 +IOPATH D[9] O[18] 3076.39:3076.39:3076.39 3326.85:3326.85:3326.85 +IOPATH D[9] O[19] 3255.14:3255.14:3255.14 3446.09:3446.09:3446.09 +IOPATH D[9] O[20] 3130.96:3130.96:3130.96 3302.14:3302.14:3302.14 +IOPATH D[9] O[21] 3067.04:3067.04:3067.04 3232.57:3232.57:3232.57 +IOPATH D[9] O[22] 3232.79:3232.79:3232.79 3438.73:3438.73:3438.73 +IOPATH D[9] O[23] 3292.92:3292.92:3292.92 3469.83:3469.83:3469.83 +IOPATH D[9] O[24] 3207:3207:3207 3364.43:3364.43:3364.43 +IOPATH D[9] O[25] 3319.99:3319.99:3319.99 3502.95:3502.95:3502.95 +IOPATH D[9] O[26] 3482.87:3482.87:3482.87 3683.16:3683.16:3683.16 +IOPATH D[9] O[27] 3473.84:3473.84:3473.84 3638.29:3638.29:3638.29 +IOPATH D[9] O[28] 3404.64:3404.64:3404.64 3562.2:3562.2:3562.2 +IOPATH D[9] O[29] 3489.8:3489.8:3489.8 3650.33:3650.33:3650.33 +IOPATH D[9] O[30] 3556.79:3556.79:3556.79 3686.78:3686.78:3686.78 +IOPATH D[9] O[31] 3607.2:3607.2:3607.2 3697.32:3697.32:3697.32 +IOPATH D[10] ACCUMCO 2104.36:2104.36:2104.36 2216.31:2216.31:2216.31 +IOPATH D[10] CO 2676.76:2676.76:2676.76 2762.3:2762.3:2762.3 +IOPATH D[10] O[10] 1676.68:1676.68:1676.68 1845.21:1845.21:1845.21 +IOPATH D[10] O[11] 1927.47:1927.47:1927.47 2090.56:2090.56:2090.56 +IOPATH D[10] O[12] 2077.19:2077.19:2077.19 2230.46:2230.46:2230.46 +IOPATH D[10] O[13] 2189.15:2189.15:2189.15 2350.09:2350.09:2350.09 +IOPATH D[10] O[14] 2514.11:2514.11:2514.11 2693.28:2693.28:2693.28 +IOPATH D[10] O[15] 2424.94:2424.94:2424.94 2618.31:2618.31:2618.31 +IOPATH D[10] O[16] 2806.67:2806.67:2806.67 3042.53:3042.53:3042.53 +IOPATH D[10] O[17] 2893.85:2893.85:2893.85 3113.27:3113.27:3113.27 +IOPATH D[10] O[18] 3020.53:3020.53:3020.53 3270.98:3270.98:3270.98 +IOPATH D[10] O[19] 3199.27:3199.27:3199.27 3390.22:3390.22:3390.22 +IOPATH D[10] O[20] 3075.09:3075.09:3075.09 3246.27:3246.27:3246.27 +IOPATH D[10] O[21] 3011.17:3011.17:3011.17 3176.7:3176.7:3176.7 +IOPATH D[10] O[22] 3176.92:3176.92:3176.92 3382.86:3382.86:3382.86 +IOPATH D[10] O[23] 3237.05:3237.05:3237.05 3413.96:3413.96:3413.96 +IOPATH D[10] O[24] 3151.14:3151.14:3151.14 3308.57:3308.57:3308.57 +IOPATH D[10] O[25] 3264.12:3264.12:3264.12 3447.08:3447.08:3447.08 +IOPATH D[10] O[26] 3427.01:3427.01:3427.01 3627.29:3627.29:3627.29 +IOPATH D[10] O[27] 3417.97:3417.97:3417.97 3582.43:3582.43:3582.43 +IOPATH D[10] O[28] 3348.77:3348.77:3348.77 3506.33:3506.33:3506.33 +IOPATH D[10] O[29] 3433.93:3433.93:3433.93 3594.46:3594.46:3594.46 +IOPATH D[10] O[30] 3500.92:3500.92:3500.92 3630.91:3630.91:3630.91 +IOPATH D[10] O[31] 3551.33:3551.33:3551.33 3641.45:3641.45:3641.45 +IOPATH D[11] ACCUMCO 2070.71:2070.71:2070.71 2191.58:2191.58:2191.58 +IOPATH D[11] CO 2643.11:2643.11:2643.11 2737.57:2737.57:2737.57 +IOPATH D[11] O[11] 1794.33:1794.33:1794.33 1966.76:1966.76:1966.76 +IOPATH D[11] O[12] 2032:2032:2032 2185.26:2185.26:2185.26 +IOPATH D[11] O[13] 2143.94:2143.94:2143.94 2304.88:2304.88:2304.88 +IOPATH D[11] O[14] 2468.9:2468.9:2468.9 2648.08:2648.08:2648.08 +IOPATH D[11] O[15] 2379.73:2379.73:2379.73 2573.1:2573.1:2573.1 +IOPATH D[11] O[16] 2773.02:2773.02:2773.02 3016.28:3016.28:3016.28 +IOPATH D[11] O[17] 2864.8:2864.8:2864.8 3088.54:3088.54:3088.54 +IOPATH D[11] O[18] 2995.79:2995.79:2995.79 3246.25:3246.25:3246.25 +IOPATH D[11] O[19] 3174.54:3174.54:3174.54 3365.48:3365.48:3365.48 +IOPATH D[11] O[20] 3050.36:3050.36:3050.36 3221.54:3221.54:3221.54 +IOPATH D[11] O[21] 2986.44:2986.44:2986.44 3151.97:3151.97:3151.97 +IOPATH D[11] O[22] 3152.19:3152.19:3152.19 3358.13:3358.13:3358.13 +IOPATH D[11] O[23] 3212.32:3212.32:3212.32 3389.23:3389.23:3389.23 +IOPATH D[11] O[24] 3126.4:3126.4:3126.4 3283.83:3283.83:3283.83 +IOPATH D[11] O[25] 3239.39:3239.39:3239.39 3422.35:3422.35:3422.35 +IOPATH D[11] O[26] 3402.27:3402.27:3402.27 3602.56:3602.56:3602.56 +IOPATH D[11] O[27] 3393.24:3393.24:3393.24 3557.69:3557.69:3557.69 +IOPATH D[11] O[28] 3324.04:3324.04:3324.04 3481.59:3481.59:3481.59 +IOPATH D[11] O[29] 3409.2:3409.2:3409.2 3569.73:3569.73:3569.73 +IOPATH D[11] O[30] 3476.19:3476.19:3476.19 3606.18:3606.18:3606.18 +IOPATH D[11] O[31] 3526.6:3526.6:3526.6 3616.72:3616.72:3616.72 +IOPATH D[12] ACCUMCO 2085.75:2085.75:2085.75 2237.18:2237.18:2237.18 +IOPATH D[12] CO 2658.16:2658.16:2658.16 2783.16:2783.16:2783.16 +IOPATH D[12] O[12] 1672.29:1672.29:1672.29 1815.52:1815.52:1815.52 +IOPATH D[12] O[13] 1807.43:1807.43:1807.43 1959.55:1959.55:1959.55 +IOPATH D[12] O[14] 2123.57:2123.57:2123.57 2302.74:2302.74:2302.74 +IOPATH D[12] O[15] 2034.4:2034.4:2034.4 2227.77:2227.77:2227.77 +IOPATH D[12] O[16] 2811.12:2811.12:2811.12 3061.88:3061.88:3061.88 +IOPATH D[12] O[17] 2910.4:2910.4:2910.4 3134.14:3134.14:3134.14 +IOPATH D[12] O[18] 3041.39:3041.39:3041.39 3291.84:3291.84:3291.84 +IOPATH D[12] O[19] 3220.13:3220.13:3220.13 3411.08:3411.08:3411.08 +IOPATH D[12] O[20] 3095.96:3095.96:3095.96 3267.13:3267.13:3267.13 +IOPATH D[12] O[21] 3032.04:3032.04:3032.04 3197.57:3197.57:3197.57 +IOPATH D[12] O[22] 3197.79:3197.79:3197.79 3403.73:3403.73:3403.73 +IOPATH D[12] O[23] 3257.91:3257.91:3257.91 3434.82:3434.82:3434.82 +IOPATH D[12] O[24] 3172:3172:3172 3329.43:3329.43:3329.43 +IOPATH D[12] O[25] 3284.98:3284.98:3284.98 3467.95:3467.95:3467.95 +IOPATH D[12] O[26] 3447.87:3447.87:3447.87 3648.15:3648.15:3648.15 +IOPATH D[12] O[27] 3438.83:3438.83:3438.83 3603.29:3603.29:3603.29 +IOPATH D[12] O[28] 3369.63:3369.63:3369.63 3527.19:3527.19:3527.19 +IOPATH D[12] O[29] 3454.79:3454.79:3454.79 3615.33:3615.33:3615.33 +IOPATH D[12] O[30] 3521.79:3521.79:3521.79 3651.78:3651.78:3651.78 +IOPATH D[12] O[31] 3572.19:3572.19:3572.19 3662.32:3662.32:3662.32 +IOPATH D[13] ACCUMCO 2108.93:2108.93:2108.93 2287.07:2287.07:2287.07 +IOPATH D[13] CO 2681.34:2681.34:2681.34 2833.06:2833.06:2833.06 +IOPATH D[13] O[13] 1691.06:1691.06:1691.06 1837.69:1837.69:1837.69 +IOPATH D[13] O[14] 2035.69:2035.69:2035.69 2204.68:2204.68:2204.68 +IOPATH D[13] O[15] 1936.33:1936.33:1936.33 2129.7:2129.7:2129.7 +IOPATH D[13] O[16] 2861.01:2861.01:2861.01 3111.77:3111.77:3111.77 +IOPATH D[13] O[17] 2960.3:2960.3:2960.3 3184.04:3184.04:3184.04 +IOPATH D[13] O[18] 3091.29:3091.29:3091.29 3341.74:3341.74:3341.74 +IOPATH D[13] O[19] 3270.03:3270.03:3270.03 3460.98:3460.98:3460.98 +IOPATH D[13] O[20] 3145.86:3145.86:3145.86 3317.03:3317.03:3317.03 +IOPATH D[13] O[21] 3081.93:3081.93:3081.93 3247.46:3247.46:3247.46 +IOPATH D[13] O[22] 3247.69:3247.69:3247.69 3453.62:3453.62:3453.62 +IOPATH D[13] O[23] 3307.81:3307.81:3307.81 3484.72:3484.72:3484.72 +IOPATH D[13] O[24] 3221.9:3221.9:3221.9 3379.33:3379.33:3379.33 +IOPATH D[13] O[25] 3334.88:3334.88:3334.88 3517.84:3517.84:3517.84 +IOPATH D[13] O[26] 3497.77:3497.77:3497.77 3698.05:3698.05:3698.05 +IOPATH D[13] O[27] 3488.73:3488.73:3488.73 3653.19:3653.19:3653.19 +IOPATH D[13] O[28] 3419.53:3419.53:3419.53 3577.09:3577.09:3577.09 +IOPATH D[13] O[29] 3504.69:3504.69:3504.69 3665.22:3665.22:3665.22 +IOPATH D[13] O[30] 3571.68:3571.68:3571.68 3701.67:3701.67:3701.67 +IOPATH D[13] O[31] 3622.09:3622.09:3622.09 3712.21:3712.21:3712.21 +IOPATH D[14] ACCUMCO 2094.53:2094.53:2094.53 2207:2207:2207 +IOPATH D[14] CO 2666.93:2666.93:2666.93 2752.99:2752.99:2752.99 +IOPATH D[14] O[14] 1885.41:1885.41:1885.41 2050.52:2050.52:2050.52 +IOPATH D[14] O[15] 1818.91:1818.91:1818.91 1999.97:1999.97:1999.97 +IOPATH D[14] O[16] 2796.83:2796.83:2796.83 3032.69:3032.69:3032.69 +IOPATH D[14] O[17] 2884.01:2884.01:2884.01 3103.96:3103.96:3103.96 +IOPATH D[14] O[18] 3011.22:3011.22:3011.22 3261.67:3261.67:3261.67 +IOPATH D[14] O[19] 3189.96:3189.96:3189.96 3380.91:3380.91:3380.91 +IOPATH D[14] O[20] 3065.78:3065.78:3065.78 3236.96:3236.96:3236.96 +IOPATH D[14] O[21] 3001.86:3001.86:3001.86 3167.39:3167.39:3167.39 +IOPATH D[14] O[22] 3167.61:3167.61:3167.61 3373.55:3373.55:3373.55 +IOPATH D[14] O[23] 3227.74:3227.74:3227.74 3404.65:3404.65:3404.65 +IOPATH D[14] O[24] 3141.82:3141.82:3141.82 3299.25:3299.25:3299.25 +IOPATH D[14] O[25] 3254.81:3254.81:3254.81 3437.77:3437.77:3437.77 +IOPATH D[14] O[26] 3417.69:3417.69:3417.69 3617.98:3617.98:3617.98 +IOPATH D[14] O[27] 3408.66:3408.66:3408.66 3573.11:3573.11:3573.11 +IOPATH D[14] O[28] 3339.46:3339.46:3339.46 3497.02:3497.02:3497.02 +IOPATH D[14] O[29] 3424.62:3424.62:3424.62 3585.15:3585.15:3585.15 +IOPATH D[14] O[30] 3491.61:3491.61:3491.61 3621.6:3621.6:3621.6 +IOPATH D[14] O[31] 3542.02:3542.02:3542.02 3632.14:3632.14:3632.14 +IOPATH D[15] ACCUMCO 2085.15:2085.15:2085.15 2170.79:2170.79:2170.79 +IOPATH D[15] CO 2657.55:2657.55:2657.55 2716.78:2716.78:2716.78 +IOPATH D[15] O[15] 1716.3:1716.3:1716.3 1907.17:1907.17:1907.17 +IOPATH D[15] O[16] 2787.45:2787.45:2787.45 3023.31:3023.31:3023.31 +IOPATH D[15] O[17] 2874.63:2874.63:2874.63 3082.88:3082.88:3082.88 +IOPATH D[15] O[18] 2990.08:2990.08:2990.08 3225.45:3225.45:3225.45 +IOPATH D[15] O[19] 3158.1:3158.1:3158.1 3344.69:3344.69:3344.69 +IOPATH D[15] O[20] 3029.57:3029.57:3029.57 3200.74:3200.74:3200.74 +IOPATH D[15] O[21] 2965.65:2965.65:2965.65 3131.18:3131.18:3131.18 +IOPATH D[15] O[22] 3131.4:3131.4:3131.4 3337.34:3337.34:3337.34 +IOPATH D[15] O[23] 3191.53:3191.53:3191.53 3368.44:3368.44:3368.44 +IOPATH D[15] O[24] 3105.61:3105.61:3105.61 3263.04:3263.04:3263.04 +IOPATH D[15] O[25] 3218.6:3218.6:3218.6 3401.56:3401.56:3401.56 +IOPATH D[15] O[26] 3381.48:3381.48:3381.48 3581.77:3581.77:3581.77 +IOPATH D[15] O[27] 3372.45:3372.45:3372.45 3536.9:3536.9:3536.9 +IOPATH D[15] O[28] 3303.25:3303.25:3303.25 3460.81:3460.81:3460.81 +IOPATH D[15] O[29] 3388.4:3388.4:3388.4 3548.94:3548.94:3548.94 +IOPATH D[15] O[30] 3455.4:3455.4:3455.4 3585.39:3585.39:3585.39 +IOPATH D[15] O[31] 3505.81:3505.81:3505.81 3595.93:3595.93:3595.93 +IOPATH OLOADBOT O[0] 930.106:930.106:930.106 1000.14:1000.14:1000.14 +IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426 +IOPATH OLOADBOT O[2] 928.829:928.829:928.829 1027.86:1027.86:1027.86 +IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563 +IOPATH OLOADBOT O[4] 929.142:929.142:929.142 994.617:994.617:994.617 +IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445 +IOPATH OLOADBOT O[6] 984.111:984.111:984.111 1116.85:1116.85:1116.85 +IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956 +IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2 +IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46 +IOPATH OLOADBOT O[10] 1004.49:1004.49:1004.49 1120.52:1120.52:1120.52 +IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72 +IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66 +IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42 +IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74 +IOPATH OLOADBOT O[15] 1025.74:1025.74:1025.74 1189.82:1189.82:1189.82 +IOPATH OLOADTOP O[16] 1123.39:1123.39:1123.39 1301.49:1301.49:1301.49 +IOPATH OLOADTOP O[17] 1061.51:1061.51:1061.51 1311.62:1311.62:1311.62 +IOPATH OLOADTOP O[18] 960.736:960.736:960.736 1195.65:1195.65:1195.65 +IOPATH OLOADTOP O[19] 1075.24:1075.24:1075.24 1220.06:1220.06:1220.06 +IOPATH OLOADTOP O[20] 998.828:998.828:998.828 1123.23:1123.23:1123.23 +IOPATH OLOADTOP O[21] 947.354:947.354:947.354 1139.75:1139.75:1139.75 +IOPATH OLOADTOP O[22] 1067.04:1067.04:1067.04 1200.08:1200.08:1200.08 +IOPATH OLOADTOP O[23] 983.054:983.054:983.054 1189.04:1189.04:1189.04 +IOPATH OLOADTOP O[24] 815.867:815.867:815.867 962.766:962.766:962.766 +IOPATH OLOADTOP O[25] 1029.87:1029.87:1029.87 1181.83:1181.83:1181.83 +IOPATH OLOADTOP O[26] 1035.45:1035.45:1035.45 1263.44:1263.44:1263.44 +IOPATH OLOADTOP O[27] 997.557:997.557:997.557 1090.52:1090.52:1090.52 +IOPATH OLOADTOP O[28] 923.324:923.324:923.324 1109.8:1109.8:1109.8 +IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 +IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 +IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 + +CELL SB_MAC16_MAC_S_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 + +CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 +IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 + +CELL SB_MAC16_MAC_U_8X8_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 +SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 +SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 +SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 +SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 +SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 +SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 +SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 +SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 +SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 +SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 +SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 +SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 +SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 +SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 +SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 +SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 +SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 +SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 +SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 +SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 +SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 +SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 +SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 +SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 +SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 +SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 +SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 +SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 +SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 +SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 +SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 +SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 +SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 +SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 +SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 +SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 +SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 +SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 +SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 +SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 +SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 +SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 +SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 +SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 +SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 +SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 +SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 +SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 +SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 +SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 +SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 +SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 +SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 +SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 +SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 +SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 +SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 +SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 +SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 +SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 +SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 +SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 +IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 +IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 +IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 +IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 +IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 +IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 +IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 +IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 +IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 +IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 +IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 +IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 +IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 +IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 +IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 +IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 +IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 +IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 +IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 +IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 +IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 +IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 +IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 +IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 +IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 +IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 +IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 +IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 +IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 +IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 +IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 +IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 +IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 +IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 +IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 +IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 +IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 +IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 +IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 +IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 +IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 +IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 +IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 +IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 +IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 +IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 +IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 +IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 +IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 + +CELL SB_MAC16_MAC_U_16X16_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 +SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 +SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 +SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 +SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 +SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 +SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 +SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 +SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 +SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 +SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 +SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 +SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 +SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 +SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 +SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 +SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 +SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 +SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 +SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 +SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 +SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 +SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 +SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 +SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 +SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 +SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 +SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 +SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 +SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 +SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 +SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 +SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 +SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 +SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 +SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 +SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 +SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 +SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 +SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 +SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 +SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 +SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 +SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 +SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 +SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 +SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 +SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 +SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 +SETUP posedge:A[10] posedge:CLK 3932:3932:3932 +SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 +SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 +SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 +SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 +SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 +SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 +SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 +SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 +SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 +SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 +SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 +SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 +SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 +SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 +SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 +SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 +SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 +SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 +SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 +SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 +SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 +SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 +SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 +SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 +SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 +SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 +SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 +SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 +IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 +IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 +IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 +IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 +IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 +IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 +IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 +IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 +IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 +IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 +IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 +IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 +IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 +IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 +IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 +IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 +IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 +IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 +IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 +IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 +IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 +IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 +IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 +IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 +IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 +IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 +IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 +IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 +IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 +IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 +IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 +IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 +IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 +IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 +IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 +IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 +IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 +IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 +IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 +IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 +IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 +IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 +IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 +IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 +IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 +IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 +IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 +IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 +IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 +IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 +IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 +IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 +IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 +IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 +IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 +IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 +IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 +IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 +IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 +IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 +IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 +IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 +IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 +IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 +IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 +IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 +IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 +IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 +IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 +IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 +IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 +IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 +IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 +IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 +IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 +IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 +IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 +IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 +IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 +IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 +IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 +IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 +IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 +IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 +IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 +IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 +IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 +IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 +IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 +IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 +IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 +IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 +IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 +IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 +IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 +IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 +IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 + +CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 + +CELL SB_MAC16_MAC_U_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 + +CELL SB_MAC16_MUL_S_8X8_BYPASS +IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 +IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 +IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11 +IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22 +IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41 +IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23 +IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28 +IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68 +IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49 +IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46 +IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07 +IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8 +IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26 +IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91 +IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49 +IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84 +IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51 +IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43 +IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 +IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 +IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63 +IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82 +IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65 +IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55 +IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7 +IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51 +IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48 +IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74 +IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48 +IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94 +IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58 +IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17 +IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51 +IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18 +IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11 +IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 +IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 +IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93 +IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76 +IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66 +IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678 +IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81 +IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77 +IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34 +IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08 +IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54 +IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18 +IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77 +IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11 +IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78 +IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71 +IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 +IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 +IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27 +IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26 +IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41 +IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22 +IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19 +IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98 +IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72 +IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18 +IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83 +IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41 +IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75 +IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43 +IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35 +IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 +IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 +IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37 +IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52 +IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33 +IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3 +IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62 +IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36 +IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82 +IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46 +IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05 +IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39 +IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06 +IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99 +IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 +IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 +IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32 +IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62 +IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52 +IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2 +IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93 +IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39 +IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04 +IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62 +IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97 +IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64 +IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57 +IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 +IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 +IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91 +IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83 +IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52 +IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25 +IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71 +IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36 +IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94 +IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29 +IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96 +IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88 +IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 +IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 +IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96 +IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64 +IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38 +IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84 +IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48 +IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07 +IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41 +IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08 +IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01 +IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 +IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 +IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34 +IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42 +IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77 +IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42 +IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82 +IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46 +IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37 +IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29 +IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18 +IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16 +IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54 +IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63 +IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68 +IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6 +IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84 +IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55 +IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 +IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 +IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56 +IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45 +IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39 +IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56 +IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37 +IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28 +IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2 +IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51 +IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49 +IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88 +IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97 +IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02 +IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94 +IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18 +IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89 +IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 +IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 +IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54 +IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27 +IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08 +IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74 +IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65 +IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57 +IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72 +IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7 +IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08 +IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17 +IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22 +IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14 +IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38 +IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09 +IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 +IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 +IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42 +IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78 +IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36 +IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27 +IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19 +IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15 +IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13 +IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52 +IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61 +IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66 +IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58 +IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82 +IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53 +IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 +IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 +IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8 +IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38 +IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29 +IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21 +IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49 +IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47 +IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85 +IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94 +IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99 +IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91 +IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15 +IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86 +IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 +IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 +IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28 +IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56 +IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18 +IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28 +IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26 +IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64 +IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73 +IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79 +IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7 +IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94 +IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65 +IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 +IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 +IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4 +IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9 +IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462 +IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98 +IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36 +IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45 +IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51 +IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42 +IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66 +IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37 +IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 +IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 +IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12 +IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22 +IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2 +IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58 +IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67 +IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72 +IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64 +IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88 +IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45 +IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12 +IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75 +IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59 +IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94 +IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09 +IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9 +IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87 +IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46 +IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2 +IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66 +IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31 +IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89 +IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23 +IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91 +IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83 +IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24 +IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64 +IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37 +IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21 +IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08 +IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24 +IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05 +IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02 +IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12 +IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86 +IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32 +IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96 +IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55 +IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89 +IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56 +IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49 +IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42 +IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24 +IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7 +IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86 +IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67 +IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64 +IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45 +IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19 +IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65 +IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29 +IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88 +IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22 +IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89 +IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82 +IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63 +IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29 +IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14 +IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29 +IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1 +IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07 +IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89 +IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62 +IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08 +IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73 +IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31 +IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66 +IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33 +IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25 +IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15 +IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31 +IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65 +IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23 +IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92 +IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65 +IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11 +IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76 +IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34 +IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68 +IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36 +IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28 +IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4 +IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56 +IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11 +IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88 +IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56 +IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3 +IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76 +IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4 +IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7 +IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03 +IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7 +IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63 +IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49 +IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46 +IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64 +IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38 +IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32 +IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57 +IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8 +IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14 +IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81 +IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74 +IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75 +IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72 +IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05 +IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92 +IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85 +IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1 +IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33 +IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67 +IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34 +IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26 +IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92 +IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99 +IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71 +IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46 +IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47 +IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04 +IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95 +IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87 +IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26 +IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24 +IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63 +IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72 +IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77 +IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69 +IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93 +IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64 +IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52 +IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24 +IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54 +IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28 +IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27 +IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84 +IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75 +IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67 +IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83 +IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81 +IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19 +IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29 +IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34 +IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26 +IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5 +IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21 +IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14 +IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86 +IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5 +IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08 +IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99 +IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82 +IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92 +IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9 +IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28 +IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37 +IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42 +IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34 +IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58 +IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29 +IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48 +IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667 +IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61 +IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18 +IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09 +IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92 +IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02 +IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946 +IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38 +IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47 +IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52 +IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44 +IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68 +IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39 +IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92 +IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5 +IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97 +IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47 +IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57 +IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55 +IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93 +IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02 +IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08 +IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99 +IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23 +IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94 +IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66 +IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24 +IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18 +IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95 +IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05 +IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03 +IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41 +IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5 +IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41 +IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32 +IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56 +IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27 +IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43 +IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35 +IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44 +IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42 +IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8 +IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89 +IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1 +IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716 +IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24 +IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95 +IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53 +IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5 +IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27 +IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41 +IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26 +IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76 +IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38 +IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28 +IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52 +IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23 + +CELL SB_MAC16_MUL_S_16X16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 +SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 +SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 +SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 +SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9 +IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02 +IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 +IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27 +IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72 +IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 +IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 +IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27 +IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 +IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75 +IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14 +IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 +IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 +IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 +IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98 +IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21 +IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53 +IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 +IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 +IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 +IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 +IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 +IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 +IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 +IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 +IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15 +IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02 +IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 +IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11 +IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 +IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 +IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62 +IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08 +IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91 + +CELL SB_MAC16_MUL_S_16X16_BYPASS +IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 +IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 +IOPATH A[0] O[0] 1549.1:1549.1:1549.1 1656.78:1656.78:1656.78 +IOPATH A[0] O[1] 1688.97:1688.97:1688.97 1784.31:1784.31:1784.31 +IOPATH A[0] O[2] 1894.83:1894.83:1894.83 1961.82:1961.82:1961.82 +IOPATH A[0] O[3] 2074.85:2074.85:2074.85 2136.79:2136.79:2136.79 +IOPATH A[0] O[4] 2231.67:2231.67:2231.67 2292.55:2292.55:2292.55 +IOPATH A[0] O[5] 2375.06:2375.06:2375.06 2441.76:2441.76:2441.76 +IOPATH A[0] O[6] 2443.34:2443.34:2443.34 2524.3:2524.3:2524.3 +IOPATH A[0] O[7] 2539.75:2539.75:2539.75 2595.87:2595.87:2595.87 +IOPATH A[0] O[8] 3731.6:3731.6:3731.6 3719.03:3719.03:3719.03 +IOPATH A[0] O[9] 3908.9:3908.9:3908.9 3821.4:3821.4:3821.4 +IOPATH A[0] O[10] 4162.63:4162.63:4162.63 4111.58:4111.58:4111.58 +IOPATH A[0] O[11] 4361:4361:4361 4338.01:4338.01:4338.01 +IOPATH A[0] O[12] 4654.99:4654.99:4654.99 4612.05:4612.05:4612.05 +IOPATH A[0] O[13] 4811.52:4811.52:4811.52 4697.13:4697.13:4697.13 +IOPATH A[0] O[14] 5050.74:5050.74:5050.74 4980.08:4980.08:4980.08 +IOPATH A[0] O[15] 4914.99:4914.99:4914.99 4926.27:4926.27:4926.27 +IOPATH A[0] O[16] 5259.21:5259.21:5259.21 5183.65:5183.65:5183.65 +IOPATH A[0] O[17] 5207.72:5207.72:5207.72 5236.81:5236.81:5236.81 +IOPATH A[0] O[18] 5220.94:5220.94:5220.94 5246.32:5246.32:5246.32 +IOPATH A[0] O[19] 5476.51:5476.51:5476.51 5481.77:5481.77:5481.77 +IOPATH A[0] O[20] 5351.23:5351.23:5351.23 5328.81:5328.81:5328.81 +IOPATH A[0] O[21] 5331.57:5331.57:5331.57 5308.41:5308.41:5308.41 +IOPATH A[0] O[22] 5390.88:5390.88:5390.88 5396.93:5396.93:5396.93 +IOPATH A[0] O[23] 5471.68:5471.68:5471.68 5466.5:5466.5:5466.5 +IOPATH A[0] O[24] 5368.09:5368.09:5368.09 5401.9:5401.9:5401.9 +IOPATH A[0] O[25] 5449.6:5449.6:5449.6 5502.33:5502.33:5502.33 +IOPATH A[0] O[26] 5534.12:5534.12:5534.12 5583.33:5583.33:5583.33 +IOPATH A[0] O[27] 5627.71:5627.71:5627.71 5673.84:5673.84:5673.84 +IOPATH A[0] O[28] 5572.98:5572.98:5572.98 5592.75:5592.75:5592.75 +IOPATH A[0] O[29] 5716.84:5716.84:5716.84 5735.24:5735.24:5735.24 +IOPATH A[0] O[30] 5874.75:5874.75:5874.75 5869.94:5869.94:5869.94 +IOPATH A[0] O[31] 6007.98:6007.98:6007.98 5976.11:5976.11:5976.11 +IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 +IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 +IOPATH A[1] O[1] 1657.24:1657.24:1657.24 1754.73:1754.73:1754.73 +IOPATH A[1] O[2] 2019.91:2019.91:2019.91 2086.89:2086.89:2086.89 +IOPATH A[1] O[3] 2199.93:2199.93:2199.93 2261.88:2261.88:2261.88 +IOPATH A[1] O[4] 2371.7:2371.7:2371.7 2408.82:2408.82:2408.82 +IOPATH A[1] O[5] 2633.2:2633.2:2633.2 2699.88:2699.88:2699.88 +IOPATH A[1] O[6] 2701.46:2701.46:2701.46 2782.42:2782.42:2782.42 +IOPATH A[1] O[7] 2797.87:2797.87:2797.87 2853.99:2853.99:2853.99 +IOPATH A[1] O[8] 3966.27:3966.27:3966.27 3953.71:3953.71:3953.71 +IOPATH A[1] O[9] 4143.58:4143.58:4143.58 4056.07:4056.07:4056.07 +IOPATH A[1] O[10] 4397.3:4397.3:4397.3 4346.26:4346.26:4346.26 +IOPATH A[1] O[11] 4595.67:4595.67:4595.67 4572.69:4572.69:4572.69 +IOPATH A[1] O[12] 4889.66:4889.66:4889.66 4846.73:4846.73:4846.73 +IOPATH A[1] O[13] 5046.19:5046.19:5046.19 4931.81:4931.81:4931.81 +IOPATH A[1] O[14] 5285.42:5285.42:5285.42 5214.76:5214.76:5214.76 +IOPATH A[1] O[15] 5149.67:5149.67:5149.67 5160.94:5160.94:5160.94 +IOPATH A[1] O[16] 5428.81:5428.81:5428.81 5353.25:5353.25:5353.25 +IOPATH A[1] O[17] 5377.32:5377.32:5377.32 5406.41:5406.41:5406.41 +IOPATH A[1] O[18] 5390.55:5390.55:5390.55 5415.92:5415.92:5415.92 +IOPATH A[1] O[19] 5646.11:5646.11:5646.11 5651.36:5651.36:5651.36 +IOPATH A[1] O[20] 5520.83:5520.83:5520.83 5498.41:5498.41:5498.41 +IOPATH A[1] O[21] 5501.17:5501.17:5501.17 5478.01:5478.01:5478.01 +IOPATH A[1] O[22] 5560.48:5560.48:5560.48 5566.53:5566.53:5566.53 +IOPATH A[1] O[23] 5641.28:5641.28:5641.28 5636.1:5636.1:5636.1 +IOPATH A[1] O[24] 5537.69:5537.69:5537.69 5571.5:5571.5:5571.5 +IOPATH A[1] O[25] 5619.2:5619.2:5619.2 5671.93:5671.93:5671.93 +IOPATH A[1] O[26] 5703.72:5703.72:5703.72 5752.93:5752.93:5752.93 +IOPATH A[1] O[27] 5797.31:5797.31:5797.31 5843.44:5843.44:5843.44 +IOPATH A[1] O[28] 5742.58:5742.58:5742.58 5762.35:5762.35:5762.35 +IOPATH A[1] O[29] 5886.44:5886.44:5886.44 5904.84:5904.84:5904.84 +IOPATH A[1] O[30] 6044.36:6044.36:6044.36 6039.54:6039.54:6039.54 +IOPATH A[1] O[31] 6177.58:6177.58:6177.58 6145.71:6145.71:6145.71 +IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 +IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 +IOPATH A[2] O[2] 2002.4:2002.4:2002.4 2069.37:2069.37:2069.37 +IOPATH A[2] O[3] 2182.41:2182.41:2182.41 2244.36:2244.36:2244.36 +IOPATH A[2] O[4] 2354.42:2354.42:2354.42 2391.3:2391.3:2391.3 +IOPATH A[2] O[5] 2615.92:2615.92:2615.92 2682.6:2682.6:2682.6 +IOPATH A[2] O[6] 2684.18:2684.18:2684.18 2765.14:2765.14:2765.14 +IOPATH A[2] O[7] 2780.59:2780.59:2780.59 2836.71:2836.71:2836.71 +IOPATH A[2] O[8] 3972.09:3972.09:3972.09 3959.53:3959.53:3959.53 +IOPATH A[2] O[9] 4149.4:4149.4:4149.4 4061.89:4061.89:4061.89 +IOPATH A[2] O[10] 4403.12:4403.12:4403.12 4352.08:4352.08:4352.08 +IOPATH A[2] O[11] 4601.5:4601.5:4601.5 4578.51:4578.51:4578.51 +IOPATH A[2] O[12] 4895.48:4895.48:4895.48 4852.55:4852.55:4852.55 +IOPATH A[2] O[13] 5052.01:5052.01:5052.01 4937.63:4937.63:4937.63 +IOPATH A[2] O[14] 5291.24:5291.24:5291.24 5220.58:5220.58:5220.58 +IOPATH A[2] O[15] 5155.49:5155.49:5155.49 5166.76:5166.76:5166.76 +IOPATH A[2] O[16] 5434.63:5434.63:5434.63 5359.07:5359.07:5359.07 +IOPATH A[2] O[17] 5383.14:5383.14:5383.14 5412.24:5412.24:5412.24 +IOPATH A[2] O[18] 5396.37:5396.37:5396.37 5421.75:5421.75:5421.75 +IOPATH A[2] O[19] 5651.93:5651.93:5651.93 5657.19:5657.19:5657.19 +IOPATH A[2] O[20] 5526.65:5526.65:5526.65 5504.23:5504.23:5504.23 +IOPATH A[2] O[21] 5506.99:5506.99:5506.99 5483.83:5483.83:5483.83 +IOPATH A[2] O[22] 5566.3:5566.3:5566.3 5572.35:5572.35:5572.35 +IOPATH A[2] O[23] 5647.1:5647.1:5647.1 5641.92:5641.92:5641.92 +IOPATH A[2] O[24] 5543.51:5543.51:5543.51 5577.32:5577.32:5577.32 +IOPATH A[2] O[25] 5625.02:5625.02:5625.02 5677.75:5677.75:5677.75 +IOPATH A[2] O[26] 5709.54:5709.54:5709.54 5758.76:5758.76:5758.76 +IOPATH A[2] O[27] 5803.13:5803.13:5803.13 5849.26:5849.26:5849.26 +IOPATH A[2] O[28] 5748.4:5748.4:5748.4 5768.17:5768.17:5768.17 +IOPATH A[2] O[29] 5892.26:5892.26:5892.26 5910.66:5910.66:5910.66 +IOPATH A[2] O[30] 6050.18:6050.18:6050.18 6045.36:6045.36:6045.36 +IOPATH A[2] O[31] 6183.4:6183.4:6183.4 6151.53:6151.53:6151.53 +IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 +IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 +IOPATH A[3] O[3] 1970.38:1970.38:1970.38 2006.5:2006.5:2006.5 +IOPATH A[3] O[4] 2381.31:2381.31:2381.31 2416.53:2416.53:2416.53 +IOPATH A[3] O[5] 2642.81:2642.81:2642.81 2709.49:2709.49:2709.49 +IOPATH A[3] O[6] 2711.07:2711.07:2711.07 2792.03:2792.03:2792.03 +IOPATH A[3] O[7] 2807.48:2807.48:2807.48 2863.6:2863.6:2863.6 +IOPATH A[3] O[8] 4029.52:4029.52:4029.52 4016.95:4016.95:4016.95 +IOPATH A[3] O[9] 4206.82:4206.82:4206.82 4119.32:4119.32:4119.32 +IOPATH A[3] O[10] 4460.54:4460.54:4460.54 4409.5:4409.5:4409.5 +IOPATH A[3] O[11] 4658.92:4658.92:4658.92 4635.93:4635.93:4635.93 +IOPATH A[3] O[12] 4952.91:4952.91:4952.91 4909.97:4909.97:4909.97 +IOPATH A[3] O[13] 5109.44:5109.44:5109.44 4995.05:4995.05:4995.05 +IOPATH A[3] O[14] 5348.66:5348.66:5348.66 5278:5278:5278 +IOPATH A[3] O[15] 5212.91:5212.91:5212.91 5224.18:5224.18:5224.18 +IOPATH A[3] O[16] 5492.05:5492.05:5492.05 5416.49:5416.49:5416.49 +IOPATH A[3] O[17] 5440.56:5440.56:5440.56 5469.66:5469.66:5469.66 +IOPATH A[3] O[18] 5453.79:5453.79:5453.79 5479.17:5479.17:5479.17 +IOPATH A[3] O[19] 5709.35:5709.35:5709.35 5714.61:5714.61:5714.61 +IOPATH A[3] O[20] 5584.07:5584.07:5584.07 5561.65:5561.65:5561.65 +IOPATH A[3] O[21] 5564.41:5564.41:5564.41 5541.25:5541.25:5541.25 +IOPATH A[3] O[22] 5623.73:5623.73:5623.73 5629.77:5629.77:5629.77 +IOPATH A[3] O[23] 5704.53:5704.53:5704.53 5699.34:5699.34:5699.34 +IOPATH A[3] O[24] 5600.94:5600.94:5600.94 5634.74:5634.74:5634.74 +IOPATH A[3] O[25] 5682.45:5682.45:5682.45 5735.18:5735.18:5735.18 +IOPATH A[3] O[26] 5766.96:5766.96:5766.96 5816.18:5816.18:5816.18 +IOPATH A[3] O[27] 5860.55:5860.55:5860.55 5906.68:5906.68:5906.68 +IOPATH A[3] O[28] 5805.82:5805.82:5805.82 5825.59:5825.59:5825.59 +IOPATH A[3] O[29] 5949.69:5949.69:5949.69 5968.08:5968.08:5968.08 +IOPATH A[3] O[30] 6107.6:6107.6:6107.6 6102.78:6102.78:6102.78 +IOPATH A[3] O[31] 6240.82:6240.82:6240.82 6208.95:6208.95:6208.95 +IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 +IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 +IOPATH A[4] O[4] 2416.7:2416.7:2416.7 2451.92:2451.92:2451.92 +IOPATH A[4] O[5] 2678.2:2678.2:2678.2 2744.88:2744.88:2744.88 +IOPATH A[4] O[6] 2746.46:2746.46:2746.46 2827.42:2827.42:2827.42 +IOPATH A[4] O[7] 2842.87:2842.87:2842.87 2898.99:2898.99:2898.99 +IOPATH A[4] O[8] 4199.31:4199.31:4199.31 4186.74:4186.74:4186.74 +IOPATH A[4] O[9] 4376.62:4376.62:4376.62 4289.11:4289.11:4289.11 +IOPATH A[4] O[10] 4630.34:4630.34:4630.34 4579.29:4579.29:4579.29 +IOPATH A[4] O[11] 4828.71:4828.71:4828.71 4805.72:4805.72:4805.72 +IOPATH A[4] O[12] 5122.7:5122.7:5122.7 5079.76:5079.76:5079.76 +IOPATH A[4] O[13] 5279.23:5279.23:5279.23 5164.84:5164.84:5164.84 +IOPATH A[4] O[14] 5518.45:5518.45:5518.45 5447.79:5447.79:5447.79 +IOPATH A[4] O[15] 5382.7:5382.7:5382.7 5393.98:5393.98:5393.98 +IOPATH A[4] O[16] 5661.84:5661.84:5661.84 5586.28:5586.28:5586.28 +IOPATH A[4] O[17] 5610.35:5610.35:5610.35 5639.45:5639.45:5639.45 +IOPATH A[4] O[18] 5623.58:5623.58:5623.58 5648.96:5648.96:5648.96 +IOPATH A[4] O[19] 5879.15:5879.15:5879.15 5884.4:5884.4:5884.4 +IOPATH A[4] O[20] 5753.86:5753.86:5753.86 5731.44:5731.44:5731.44 +IOPATH A[4] O[21] 5734.2:5734.2:5734.2 5711.05:5711.05:5711.05 +IOPATH A[4] O[22] 5793.52:5793.52:5793.52 5799.56:5799.56:5799.56 +IOPATH A[4] O[23] 5874.32:5874.32:5874.32 5869.13:5869.13:5869.13 +IOPATH A[4] O[24] 5770.73:5770.73:5770.73 5804.54:5804.54:5804.54 +IOPATH A[4] O[25] 5852.24:5852.24:5852.24 5904.97:5904.97:5904.97 +IOPATH A[4] O[26] 5936.75:5936.75:5936.75 5985.97:5985.97:5985.97 +IOPATH A[4] O[27] 6030.34:6030.34:6030.34 6076.47:6076.47:6076.47 +IOPATH A[4] O[28] 5975.62:5975.62:5975.62 5995.39:5995.39:5995.39 +IOPATH A[4] O[29] 6119.48:6119.48:6119.48 6137.88:6137.88:6137.88 +IOPATH A[4] O[30] 6277.39:6277.39:6277.39 6272.57:6272.57:6272.57 +IOPATH A[4] O[31] 6410.62:6410.62:6410.62 6378.75:6378.75:6378.75 +IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 +IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 +IOPATH A[5] O[5] 2580.88:2580.88:2580.88 2622.4:2622.4:2622.4 +IOPATH A[5] O[6] 2766.69:2766.69:2766.69 2856.4:2856.4:2856.4 +IOPATH A[5] O[7] 3014.08:3014.08:3014.08 3044.8:3044.8:3044.8 +IOPATH A[5] O[8] 4433.6:4433.6:4433.6 4421.03:4421.03:4421.03 +IOPATH A[5] O[9] 4610.91:4610.91:4610.91 4523.4:4523.4:4523.4 +IOPATH A[5] O[10] 4864.63:4864.63:4864.63 4813.59:4813.59:4813.59 +IOPATH A[5] O[11] 5063:5063:5063 5040.01:5040.01:5040.01 +IOPATH A[5] O[12] 5356.99:5356.99:5356.99 5314.06:5314.06:5314.06 +IOPATH A[5] O[13] 5513.52:5513.52:5513.52 5399.14:5399.14:5399.14 +IOPATH A[5] O[14] 5752.74:5752.74:5752.74 5682.08:5682.08:5682.08 +IOPATH A[5] O[15] 5617:5617:5617 5628.27:5628.27:5628.27 +IOPATH A[5] O[16] 5896.14:5896.14:5896.14 5820.58:5820.58:5820.58 +IOPATH A[5] O[17] 5844.64:5844.64:5844.64 5873.74:5873.74:5873.74 +IOPATH A[5] O[18] 5857.87:5857.87:5857.87 5883.25:5883.25:5883.25 +IOPATH A[5] O[19] 6113.44:6113.44:6113.44 6118.69:6118.69:6118.69 +IOPATH A[5] O[20] 5988.15:5988.15:5988.15 5965.74:5965.74:5965.74 +IOPATH A[5] O[21] 5968.49:5968.49:5968.49 5945.34:5945.34:5945.34 +IOPATH A[5] O[22] 6027.81:6027.81:6027.81 6033.86:6033.86:6033.86 +IOPATH A[5] O[23] 6108.61:6108.61:6108.61 6103.43:6103.43:6103.43 +IOPATH A[5] O[24] 6005.02:6005.02:6005.02 6038.83:6038.83:6038.83 +IOPATH A[5] O[25] 6086.53:6086.53:6086.53 6139.26:6139.26:6139.26 +IOPATH A[5] O[26] 6171.05:6171.05:6171.05 6220.26:6220.26:6220.26 +IOPATH A[5] O[27] 6264.64:6264.64:6264.64 6310.77:6310.77:6310.77 +IOPATH A[5] O[28] 6209.91:6209.91:6209.91 6229.68:6229.68:6229.68 +IOPATH A[5] O[29] 6353.77:6353.77:6353.77 6372.17:6372.17:6372.17 +IOPATH A[5] O[30] 6511.68:6511.68:6511.68 6506.87:6506.87:6506.87 +IOPATH A[5] O[31] 6644.91:6644.91:6644.91 6613.04:6613.04:6613.04 +IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 +IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 +IOPATH A[6] O[6] 2596.87:2596.87:2596.87 2686.57:2686.57:2686.57 +IOPATH A[6] O[7] 2782.25:2782.25:2782.25 2812.97:2812.97:2812.97 +IOPATH A[6] O[8] 4201.78:4201.78:4201.78 4189.21:4189.21:4189.21 +IOPATH A[6] O[9] 4379.08:4379.08:4379.08 4291.58:4291.58:4291.58 +IOPATH A[6] O[10] 4632.8:4632.8:4632.8 4581.76:4581.76:4581.76 +IOPATH A[6] O[11] 4831.18:4831.18:4831.18 4808.19:4808.19:4808.19 +IOPATH A[6] O[12] 5125.17:5125.17:5125.17 5082.23:5082.23:5082.23 +IOPATH A[6] O[13] 5281.7:5281.7:5281.7 5167.31:5167.31:5167.31 +IOPATH A[6] O[14] 5520.92:5520.92:5520.92 5450.26:5450.26:5450.26 +IOPATH A[6] O[15] 5385.17:5385.17:5385.17 5396.44:5396.44:5396.44 +IOPATH A[6] O[16] 5664.31:5664.31:5664.31 5588.75:5588.75:5588.75 +IOPATH A[6] O[17] 5612.82:5612.82:5612.82 5641.92:5641.92:5641.92 +IOPATH A[6] O[18] 5626.05:5626.05:5626.05 5651.43:5651.43:5651.43 +IOPATH A[6] O[19] 5881.61:5881.61:5881.61 5886.87:5886.87:5886.87 +IOPATH A[6] O[20] 5756.33:5756.33:5756.33 5733.91:5733.91:5733.91 +IOPATH A[6] O[21] 5736.67:5736.67:5736.67 5713.51:5713.51:5713.51 +IOPATH A[6] O[22] 5795.99:5795.99:5795.99 5802.03:5802.03:5802.03 +IOPATH A[6] O[23] 5876.79:5876.79:5876.79 5871.6:5871.6:5871.6 +IOPATH A[6] O[24] 5773.2:5773.2:5773.2 5807:5807:5807 +IOPATH A[6] O[25] 5854.7:5854.7:5854.7 5907.44:5907.44:5907.44 +IOPATH A[6] O[26] 5939.22:5939.22:5939.22 5988.44:5988.44:5988.44 +IOPATH A[6] O[27] 6032.81:6032.81:6032.81 6078.94:6078.94:6078.94 +IOPATH A[6] O[28] 5978.08:5978.08:5978.08 5997.85:5997.85:5997.85 +IOPATH A[6] O[29] 6121.95:6121.95:6121.95 6140.35:6140.35:6140.35 +IOPATH A[6] O[30] 6279.86:6279.86:6279.86 6275.04:6275.04:6275.04 +IOPATH A[6] O[31] 6413.09:6413.09:6413.09 6381.21:6381.21:6381.21 +IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 +IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 +IOPATH A[7] O[7] 2828.52:2828.52:2828.52 2859.24:2859.24:2859.24 +IOPATH A[7] O[8] 4248.05:4248.05:4248.05 4235.48:4235.48:4235.48 +IOPATH A[7] O[9] 4425.35:4425.35:4425.35 4337.85:4337.85:4337.85 +IOPATH A[7] O[10] 4679.07:4679.07:4679.07 4628.03:4628.03:4628.03 +IOPATH A[7] O[11] 4877.45:4877.45:4877.45 4854.46:4854.46:4854.46 +IOPATH A[7] O[12] 5171.44:5171.44:5171.44 5128.5:5128.5:5128.5 +IOPATH A[7] O[13] 5327.97:5327.97:5327.97 5213.58:5213.58:5213.58 +IOPATH A[7] O[14] 5567.19:5567.19:5567.19 5496.53:5496.53:5496.53 +IOPATH A[7] O[15] 5431.44:5431.44:5431.44 5442.71:5442.71:5442.71 +IOPATH A[7] O[16] 5710.58:5710.58:5710.58 5635.02:5635.02:5635.02 +IOPATH A[7] O[17] 5659.09:5659.09:5659.09 5688.19:5688.19:5688.19 +IOPATH A[7] O[18] 5672.32:5672.32:5672.32 5697.7:5697.7:5697.7 +IOPATH A[7] O[19] 5927.88:5927.88:5927.88 5933.14:5933.14:5933.14 +IOPATH A[7] O[20] 5802.6:5802.6:5802.6 5780.18:5780.18:5780.18 +IOPATH A[7] O[21] 5782.94:5782.94:5782.94 5759.78:5759.78:5759.78 +IOPATH A[7] O[22] 5842.26:5842.26:5842.26 5848.3:5848.3:5848.3 +IOPATH A[7] O[23] 5923.06:5923.06:5923.06 5917.87:5917.87:5917.87 +IOPATH A[7] O[24] 5819.47:5819.47:5819.47 5853.28:5853.28:5853.28 +IOPATH A[7] O[25] 5900.98:5900.98:5900.98 5953.71:5953.71:5953.71 +IOPATH A[7] O[26] 5985.49:5985.49:5985.49 6034.71:6034.71:6034.71 +IOPATH A[7] O[27] 6079.08:6079.08:6079.08 6125.21:6125.21:6125.21 +IOPATH A[7] O[28] 6024.35:6024.35:6024.35 6044.12:6044.12:6044.12 +IOPATH A[7] O[29] 6168.22:6168.22:6168.22 6186.62:6186.62:6186.62 +IOPATH A[7] O[30] 6326.13:6326.13:6326.13 6321.31:6321.31:6321.31 +IOPATH A[7] O[31] 6459.36:6459.36:6459.36 6427.48:6427.48:6427.48 +IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 +IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 +IOPATH A[8] O[8] 2181.52:2181.52:2181.52 2215.34:2215.34:2215.34 +IOPATH A[8] O[9] 2377.27:2377.27:2377.27 2316.55:2316.55:2316.55 +IOPATH A[8] O[10] 2663.39:2663.39:2663.39 2637.24:2637.24:2637.24 +IOPATH A[8] O[11] 2914.52:2914.52:2914.52 2893.91:2893.91:2893.91 +IOPATH A[8] O[12] 3233.33:3233.33:3233.33 3223.97:3223.97:3223.97 +IOPATH A[8] O[13] 3394.97:3394.97:3394.97 3309.05:3309.05:3309.05 +IOPATH A[8] O[14] 3637.35:3637.35:3637.35 3582.02:3582.02:3582.02 +IOPATH A[8] O[15] 3501.6:3501.6:3501.6 3518.35:3518.35:3518.35 +IOPATH A[8] O[16] 4088.57:4088.57:4088.57 3984.7:3984.7:3984.7 +IOPATH A[8] O[17] 4058.87:4058.87:4058.87 4087.96:4087.96:4087.96 +IOPATH A[8] O[18] 4096.3:4096.3:4096.3 4121.68:4121.68:4121.68 +IOPATH A[8] O[19] 4351.87:4351.87:4351.87 4357.12:4357.12:4357.12 +IOPATH A[8] O[20] 4639.93:4639.93:4639.93 4626.83:4626.83:4626.83 +IOPATH A[8] O[21] 4620.27:4620.27:4620.27 4597.11:4597.11:4597.11 +IOPATH A[8] O[22] 4679.58:4679.58:4679.58 4685.63:4685.63:4685.63 +IOPATH A[8] O[23] 4760.38:4760.38:4760.38 4755.2:4755.2:4755.2 +IOPATH A[8] O[24] 5017.18:5017.18:5017.18 5003.42:5003.42:5003.42 +IOPATH A[8] O[25] 5114.37:5114.37:5114.37 5116.67:5116.67:5116.67 +IOPATH A[8] O[26] 5212.48:5212.48:5212.48 5211.22:5211.22:5211.22 +IOPATH A[8] O[27] 5317.11:5317.11:5317.11 5313.03:5313.03:5313.03 +IOPATH A[8] O[28] 5334.01:5334.01:5334.01 5329.04:5329.04:5329.04 +IOPATH A[8] O[29] 5477.88:5477.88:5477.88 5484.89:5484.89:5484.89 +IOPATH A[8] O[30] 5635.79:5635.79:5635.79 5630.97:5630.97:5630.97 +IOPATH A[8] O[31] 5769.02:5769.02:5769.02 5737.14:5737.14:5737.14 +IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 +IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 +IOPATH A[9] O[9] 2347.44:2347.44:2347.44 2286.72:2286.72:2286.72 +IOPATH A[9] O[10] 2882.62:2882.62:2882.62 2856.46:2856.46:2856.46 +IOPATH A[9] O[11] 3133.74:3133.74:3133.74 3113.13:3113.13:3113.13 +IOPATH A[9] O[12] 3452.55:3452.55:3452.55 3443.19:3443.19:3443.19 +IOPATH A[9] O[13] 3595.63:3595.63:3595.63 3528.27:3528.27:3528.27 +IOPATH A[9] O[14] 3823:3823:3823 3801.24:3801.24:3801.24 +IOPATH A[9] O[15] 3687.25:3687.25:3687.25 3736.22:3736.22:3736.22 +IOPATH A[9] O[16] 4344.87:4344.87:4344.87 4241.01:4241.01:4241.01 +IOPATH A[9] O[17] 4315.17:4315.17:4315.17 4344.26:4344.26:4344.26 +IOPATH A[9] O[18] 4352.6:4352.6:4352.6 4377.99:4377.99:4377.99 +IOPATH A[9] O[19] 4608.17:4608.17:4608.17 4613.42:4613.42:4613.42 +IOPATH A[9] O[20] 4896.23:4896.23:4896.23 4883.13:4883.13:4883.13 +IOPATH A[9] O[21] 4876.57:4876.57:4876.57 4853.41:4853.41:4853.41 +IOPATH A[9] O[22] 4935.89:4935.89:4935.89 4941.93:4941.93:4941.93 +IOPATH A[9] O[23] 5016.68:5016.68:5016.68 5011.5:5011.5:5011.5 +IOPATH A[9] O[24] 5273.48:5273.48:5273.48 5259.72:5259.72:5259.72 +IOPATH A[9] O[25] 5370.67:5370.67:5370.67 5372.97:5372.97:5372.97 +IOPATH A[9] O[26] 5468.78:5468.78:5468.78 5467.52:5467.52:5467.52 +IOPATH A[9] O[27] 5573.41:5573.41:5573.41 5569.34:5569.34:5569.34 +IOPATH A[9] O[28] 5590.31:5590.31:5590.31 5585.34:5585.34:5585.34 +IOPATH A[9] O[29] 5734.18:5734.18:5734.18 5741.19:5741.19:5741.19 +IOPATH A[9] O[30] 5892.09:5892.09:5892.09 5887.27:5887.27:5887.27 +IOPATH A[9] O[31] 6025.32:6025.32:6025.32 5993.44:5993.44:5993.44 +IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 +IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 +IOPATH A[10] O[10] 2783.46:2783.46:2783.46 2757.31:2757.31:2757.31 +IOPATH A[10] O[11] 3034.59:3034.59:3034.59 3013.97:3013.97:3013.97 +IOPATH A[10] O[12] 3353.39:3353.39:3353.39 3344.04:3344.04:3344.04 +IOPATH A[10] O[13] 3496.48:3496.48:3496.48 3429.12:3429.12:3429.12 +IOPATH A[10] O[14] 3722.22:3722.22:3722.22 3702.08:3702.08:3702.08 +IOPATH A[10] O[15] 3575.27:3575.27:3575.27 3637.07:3637.07:3637.07 +IOPATH A[10] O[16] 4278.17:4278.17:4278.17 4174.31:4174.31:4174.31 +IOPATH A[10] O[17] 4248.47:4248.47:4248.47 4277.56:4277.56:4277.56 +IOPATH A[10] O[18] 4285.9:4285.9:4285.9 4311.29:4311.29:4311.29 +IOPATH A[10] O[19] 4541.47:4541.47:4541.47 4546.72:4546.72:4546.72 +IOPATH A[10] O[20] 4829.53:4829.53:4829.53 4816.43:4816.43:4816.43 +IOPATH A[10] O[21] 4809.87:4809.87:4809.87 4786.71:4786.71:4786.71 +IOPATH A[10] O[22] 4869.19:4869.19:4869.19 4875.23:4875.23:4875.23 +IOPATH A[10] O[23] 4949.99:4949.99:4949.99 4944.8:4944.8:4944.8 +IOPATH A[10] O[24] 5206.78:5206.78:5206.78 5193.02:5193.02:5193.02 +IOPATH A[10] O[25] 5303.97:5303.97:5303.97 5306.27:5306.27:5306.27 +IOPATH A[10] O[26] 5402.08:5402.08:5402.08 5400.82:5400.82:5400.82 +IOPATH A[10] O[27] 5506.71:5506.71:5506.71 5502.64:5502.64:5502.64 +IOPATH A[10] O[28] 5523.61:5523.61:5523.61 5518.65:5518.65:5518.65 +IOPATH A[10] O[29] 5667.48:5667.48:5667.48 5674.49:5674.49:5674.49 +IOPATH A[10] O[30] 5825.39:5825.39:5825.39 5820.57:5820.57:5820.57 +IOPATH A[10] O[31] 5958.62:5958.62:5958.62 5926.74:5926.74:5926.74 +IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 +IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 +IOPATH A[11] O[11] 2810.63:2810.63:2810.63 2790.01:2790.01:2790.01 +IOPATH A[11] O[12] 3165.32:3165.32:3165.32 3120.07:3120.07:3120.07 +IOPATH A[11] O[13] 3393.61:3393.61:3393.61 3284.97:3284.97:3284.97 +IOPATH A[11] O[14] 3657.25:3657.25:3657.25 3594.18:3594.18:3594.18 +IOPATH A[11] O[15] 3521.5:3521.5:3521.5 3538.24:3538.24:3538.24 +IOPATH A[11] O[16] 4262.01:4262.01:4262.01 4158.14:4158.14:4158.14 +IOPATH A[11] O[17] 4232.31:4232.31:4232.31 4261.4:4261.4:4261.4 +IOPATH A[11] O[18] 4269.74:4269.74:4269.74 4295.12:4295.12:4295.12 +IOPATH A[11] O[19] 4525.31:4525.31:4525.31 4530.56:4530.56:4530.56 +IOPATH A[11] O[20] 4813.37:4813.37:4813.37 4800.27:4800.27:4800.27 +IOPATH A[11] O[21] 4793.71:4793.71:4793.71 4770.55:4770.55:4770.55 +IOPATH A[11] O[22] 4853.02:4853.02:4853.02 4859.07:4859.07:4859.07 +IOPATH A[11] O[23] 4933.82:4933.82:4933.82 4928.64:4928.64:4928.64 +IOPATH A[11] O[24] 5190.62:5190.62:5190.62 5176.86:5176.86:5176.86 +IOPATH A[11] O[25] 5287.81:5287.81:5287.81 5290.11:5290.11:5290.11 +IOPATH A[11] O[26] 5385.92:5385.92:5385.92 5384.66:5384.66:5384.66 +IOPATH A[11] O[27] 5490.55:5490.55:5490.55 5486.47:5486.47:5486.47 +IOPATH A[11] O[28] 5507.45:5507.45:5507.45 5502.48:5502.48:5502.48 +IOPATH A[11] O[29] 5651.32:5651.32:5651.32 5658.33:5658.33:5658.33 +IOPATH A[11] O[30] 5809.23:5809.23:5809.23 5804.41:5804.41:5804.41 +IOPATH A[11] O[31] 5942.46:5942.46:5942.46 5910.58:5910.58:5910.58 +IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 +IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 +IOPATH A[12] O[12] 3271.17:3271.17:3271.17 3221.99:3221.99:3221.99 +IOPATH A[12] O[13] 3537.54:3537.54:3537.54 3428.9:3428.9:3428.9 +IOPATH A[12] O[14] 3801.18:3801.18:3801.18 3738.11:3738.11:3738.11 +IOPATH A[12] O[15] 3665.43:3665.43:3665.43 3682.17:3682.17:3682.17 +IOPATH A[12] O[16] 4479.32:4479.32:4479.32 4375.46:4375.46:4375.46 +IOPATH A[12] O[17] 4449.62:4449.62:4449.62 4478.71:4478.71:4478.71 +IOPATH A[12] O[18] 4487.05:4487.05:4487.05 4512.44:4512.44:4512.44 +IOPATH A[12] O[19] 4742.62:4742.62:4742.62 4747.87:4747.87:4747.87 +IOPATH A[12] O[20] 5030.68:5030.68:5030.68 5017.59:5017.59:5017.59 +IOPATH A[12] O[21] 5011.02:5011.02:5011.02 4987.86:4987.86:4987.86 +IOPATH A[12] O[22] 5070.34:5070.34:5070.34 5076.38:5076.38:5076.38 +IOPATH A[12] O[23] 5151.14:5151.14:5151.14 5145.95:5145.95:5145.95 +IOPATH A[12] O[24] 5407.93:5407.93:5407.93 5394.17:5394.17:5394.17 +IOPATH A[12] O[25] 5505.12:5505.12:5505.12 5507.42:5507.42:5507.42 +IOPATH A[12] O[26] 5603.24:5603.24:5603.24 5601.98:5601.98:5601.98 +IOPATH A[12] O[27] 5707.87:5707.87:5707.87 5703.79:5703.79:5703.79 +IOPATH A[12] O[28] 5724.77:5724.77:5724.77 5719.8:5719.8:5719.8 +IOPATH A[12] O[29] 5868.63:5868.63:5868.63 5875.64:5875.64:5875.64 +IOPATH A[12] O[30] 6026.54:6026.54:6026.54 6021.72:6021.72:6021.72 +IOPATH A[12] O[31] 6159.77:6159.77:6159.77 6127.89:6127.89:6127.89 +IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 +IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 +IOPATH A[13] O[13] 3177.9:3177.9:3177.9 3069.26:3069.26:3069.26 +IOPATH A[13] O[14] 3533.89:3533.89:3533.89 3476.13:3476.13:3476.13 +IOPATH A[13] O[15] 3495.17:3495.17:3495.17 3509.3:3509.3:3509.3 +IOPATH A[13] O[16] 4511.7:4511.7:4511.7 4407.84:4407.84:4407.84 +IOPATH A[13] O[17] 4482:4482:4482 4511.09:4511.09:4511.09 +IOPATH A[13] O[18] 4519.43:4519.43:4519.43 4544.82:4544.82:4544.82 +IOPATH A[13] O[19] 4775:4775:4775 4780.25:4780.25:4780.25 +IOPATH A[13] O[20] 5063.06:5063.06:5063.06 5049.97:5049.97:5049.97 +IOPATH A[13] O[21] 5043.4:5043.4:5043.4 5020.24:5020.24:5020.24 +IOPATH A[13] O[22] 5102.72:5102.72:5102.72 5108.76:5108.76:5108.76 +IOPATH A[13] O[23] 5183.52:5183.52:5183.52 5178.33:5178.33:5178.33 +IOPATH A[13] O[24] 5440.31:5440.31:5440.31 5426.55:5426.55:5426.55 +IOPATH A[13] O[25] 5537.5:5537.5:5537.5 5539.8:5539.8:5539.8 +IOPATH A[13] O[26] 5635.62:5635.62:5635.62 5634.36:5634.36:5634.36 +IOPATH A[13] O[27] 5740.25:5740.25:5740.25 5736.17:5736.17:5736.17 +IOPATH A[13] O[28] 5757.15:5757.15:5757.15 5752.18:5752.18:5752.18 +IOPATH A[13] O[29] 5901.01:5901.01:5901.01 5908.02:5908.02:5908.02 +IOPATH A[13] O[30] 6058.92:6058.92:6058.92 6054.1:6054.1:6054.1 +IOPATH A[13] O[31] 6192.15:6192.15:6192.15 6160.27:6160.27:6160.27 +IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 +IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 +IOPATH A[14] O[14] 3583.96:3583.96:3583.96 3526.21:3526.21:3526.21 +IOPATH A[14] O[15] 3527.17:3527.17:3527.17 3541.3:3541.3:3541.3 +IOPATH A[14] O[16] 4543.69:4543.69:4543.69 4439.83:4439.83:4439.83 +IOPATH A[14] O[17] 4513.99:4513.99:4513.99 4543.09:4543.09:4543.09 +IOPATH A[14] O[18] 4551.43:4551.43:4551.43 4576.81:4576.81:4576.81 +IOPATH A[14] O[19] 4806.99:4806.99:4806.99 4812.25:4812.25:4812.25 +IOPATH A[14] O[20] 5095.05:5095.05:5095.05 5081.96:5081.96:5081.96 +IOPATH A[14] O[21] 5075.39:5075.39:5075.39 5052.24:5052.24:5052.24 +IOPATH A[14] O[22] 5134.71:5134.71:5134.71 5140.75:5140.75:5140.75 +IOPATH A[14] O[23] 5215.51:5215.51:5215.51 5210.33:5210.33:5210.33 +IOPATH A[14] O[24] 5472.31:5472.31:5472.31 5458.55:5458.55:5458.55 +IOPATH A[14] O[25] 5569.49:5569.49:5569.49 5571.8:5571.8:5571.8 +IOPATH A[14] O[26] 5667.61:5667.61:5667.61 5666.35:5666.35:5666.35 +IOPATH A[14] O[27] 5772.24:5772.24:5772.24 5768.16:5768.16:5768.16 +IOPATH A[14] O[28] 5789.14:5789.14:5789.14 5784.17:5784.17:5784.17 +IOPATH A[14] O[29] 5933:5933:5933 5940.01:5940.01:5940.01 +IOPATH A[14] O[30] 6090.91:6090.91:6090.91 6086.1:6086.1:6086.1 +IOPATH A[14] O[31] 6224.14:6224.14:6224.14 6192.27:6192.27:6192.27 +IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 +IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 +IOPATH A[15] O[15] 4006.96:4006.96:4006.96 4021.09:4021.09:4021.09 +IOPATH A[15] O[16] 5023.49:5023.49:5023.49 4919.63:4919.63:4919.63 +IOPATH A[15] O[17] 4993.79:4993.79:4993.79 5022.88:5022.88:5022.88 +IOPATH A[15] O[18] 5031.22:5031.22:5031.22 5056.61:5056.61:5056.61 +IOPATH A[15] O[19] 5286.79:5286.79:5286.79 5292.04:5292.04:5292.04 +IOPATH A[15] O[20] 5574.85:5574.85:5574.85 5561.76:5561.76:5561.76 +IOPATH A[15] O[21] 5555.19:5555.19:5555.19 5532.03:5532.03:5532.03 +IOPATH A[15] O[22] 5614.51:5614.51:5614.51 5620.55:5620.55:5620.55 +IOPATH A[15] O[23] 5695.3:5695.3:5695.3 5690.12:5690.12:5690.12 +IOPATH A[15] O[24] 5952.1:5952.1:5952.1 5938.34:5938.34:5938.34 +IOPATH A[15] O[25] 6049.29:6049.29:6049.29 6051.59:6051.59:6051.59 +IOPATH A[15] O[26] 6147.41:6147.41:6147.41 6146.15:6146.15:6146.15 +IOPATH A[15] O[27] 6252.04:6252.04:6252.04 6247.96:6247.96:6247.96 +IOPATH A[15] O[28] 6268.94:6268.94:6268.94 6263.97:6263.97:6263.97 +IOPATH A[15] O[29] 6412.8:6412.8:6412.8 6419.81:6419.81:6419.81 +IOPATH A[15] O[30] 6570.71:6570.71:6570.71 6565.89:6565.89:6565.89 +IOPATH A[15] O[31] 6703.94:6703.94:6703.94 6672.06:6672.06:6672.06 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] O[0] 1682.06:1682.06:1682.06 1814.12:1814.12:1814.12 +IOPATH B[0] O[1] 1821.76:1821.76:1821.76 1932.21:1932.21:1932.21 +IOPATH B[0] O[2] 2156.84:2156.84:2156.84 2223.82:2223.82:2223.82 +IOPATH B[0] O[3] 2336.88:2336.88:2336.88 2398.82:2398.82:2398.82 +IOPATH B[0] O[4] 2579.98:2579.98:2579.98 2615.21:2615.21:2615.21 +IOPATH B[0] O[5] 2841.48:2841.48:2841.48 2908.17:2908.17:2908.17 +IOPATH B[0] O[6] 2909.74:2909.74:2909.74 2990.7:2990.7:2990.7 +IOPATH B[0] O[7] 3006.16:3006.16:3006.16 3062.28:3062.28:3062.28 +IOPATH B[0] O[8] 4381.87:4381.87:4381.87 4369.3:4369.3:4369.3 +IOPATH B[0] O[9] 4559.18:4559.18:4559.18 4471.67:4471.67:4471.67 +IOPATH B[0] O[10] 4812.9:4812.9:4812.9 4761.85:4761.85:4761.85 +IOPATH B[0] O[11] 5011.27:5011.27:5011.27 4988.28:4988.28:4988.28 +IOPATH B[0] O[12] 5305.26:5305.26:5305.26 5262.32:5262.32:5262.32 +IOPATH B[0] O[13] 5461.79:5461.79:5461.79 5347.4:5347.4:5347.4 +IOPATH B[0] O[14] 5701.01:5701.01:5701.01 5630.35:5630.35:5630.35 +IOPATH B[0] O[15] 5565.26:5565.26:5565.26 5576.54:5576.54:5576.54 +IOPATH B[0] O[16] 5844.41:5844.41:5844.41 5768.84:5768.84:5768.84 +IOPATH B[0] O[17] 5792.91:5792.91:5792.91 5822.01:5822.01:5822.01 +IOPATH B[0] O[18] 5806.14:5806.14:5806.14 5831.52:5831.52:5831.52 +IOPATH B[0] O[19] 6061.71:6061.71:6061.71 6066.96:6066.96:6066.96 +IOPATH B[0] O[20] 5936.43:5936.43:5936.43 5914.01:5914.01:5914.01 +IOPATH B[0] O[21] 5916.76:5916.76:5916.76 5893.61:5893.61:5893.61 +IOPATH B[0] O[22] 5976.08:5976.08:5976.08 5982.13:5982.13:5982.13 +IOPATH B[0] O[23] 6056.88:6056.88:6056.88 6051.7:6051.7:6051.7 +IOPATH B[0] O[24] 5953.29:5953.29:5953.29 5987.1:5987.1:5987.1 +IOPATH B[0] O[25] 6034.8:6034.8:6034.8 6087.53:6087.53:6087.53 +IOPATH B[0] O[26] 6119.31:6119.31:6119.31 6168.53:6168.53:6168.53 +IOPATH B[0] O[27] 6212.91:6212.91:6212.91 6259.03:6259.03:6259.03 +IOPATH B[0] O[28] 6158.18:6158.18:6158.18 6177.95:6177.95:6177.95 +IOPATH B[0] O[29] 6302.04:6302.04:6302.04 6320.44:6320.44:6320.44 +IOPATH B[0] O[30] 6459.95:6459.95:6459.95 6455.14:6455.14:6455.14 +IOPATH B[0] O[31] 6593.18:6593.18:6593.18 6561.31:6561.31:6561.31 +IOPATH B[1] O[0] 1774.62:1774.62:1774.62 1865.9:1865.9:1865.9 +IOPATH B[1] O[1] 1914.39:1914.39:1914.39 2009.73:2009.73:2009.73 +IOPATH B[1] O[2] 2421.78:2421.78:2421.78 2488.78:2488.78:2488.78 +IOPATH B[1] O[3] 2601.82:2601.82:2601.82 2663.77:2663.77:2663.77 +IOPATH B[1] O[4] 2868.23:2868.23:2868.23 2903.46:2903.46:2903.46 +IOPATH B[1] O[5] 3129.73:3129.73:3129.73 3196.42:3196.42:3196.42 +IOPATH B[1] O[6] 3197.99:3197.99:3197.99 3278.95:3278.95:3278.95 +IOPATH B[1] O[7] 3294.41:3294.41:3294.41 3350.53:3350.53:3350.53 +IOPATH B[1] O[8] 4621.52:4621.52:4621.52 4608.96:4608.96:4608.96 +IOPATH B[1] O[9] 4798.83:4798.83:4798.83 4711.33:4711.33:4711.33 +IOPATH B[1] O[10] 5052.55:5052.55:5052.55 5001.51:5001.51:5001.51 +IOPATH B[1] O[11] 5250.92:5250.92:5250.92 5227.94:5227.94:5227.94 +IOPATH B[1] O[12] 5544.91:5544.91:5544.91 5501.98:5501.98:5501.98 +IOPATH B[1] O[13] 5701.44:5701.44:5701.44 5587.06:5587.06:5587.06 +IOPATH B[1] O[14] 5940.67:5940.67:5940.67 5870.01:5870.01:5870.01 +IOPATH B[1] O[15] 5804.92:5804.92:5804.92 5816.19:5816.19:5816.19 +IOPATH B[1] O[16] 6084.06:6084.06:6084.06 6008.5:6008.5:6008.5 +IOPATH B[1] O[17] 6032.57:6032.57:6032.57 6061.67:6061.67:6061.67 +IOPATH B[1] O[18] 6045.8:6045.8:6045.8 6071.18:6071.18:6071.18 +IOPATH B[1] O[19] 6301.36:6301.36:6301.36 6306.62:6306.62:6306.62 +IOPATH B[1] O[20] 6176.08:6176.08:6176.08 6153.66:6153.66:6153.66 +IOPATH B[1] O[21] 6156.42:6156.42:6156.42 6133.26:6133.26:6133.26 +IOPATH B[1] O[22] 6215.74:6215.74:6215.74 6221.78:6221.78:6221.78 +IOPATH B[1] O[23] 6296.53:6296.53:6296.53 6291.35:6291.35:6291.35 +IOPATH B[1] O[24] 6192.95:6192.95:6192.95 6226.75:6226.75:6226.75 +IOPATH B[1] O[25] 6274.45:6274.45:6274.45 6327.18:6327.18:6327.18 +IOPATH B[1] O[26] 6358.97:6358.97:6358.97 6408.19:6408.19:6408.19 +IOPATH B[1] O[27] 6452.56:6452.56:6452.56 6498.69:6498.69:6498.69 +IOPATH B[1] O[28] 6397.83:6397.83:6397.83 6417.6:6417.6:6417.6 +IOPATH B[1] O[29] 6541.7:6541.7:6541.7 6560.09:6560.09:6560.09 +IOPATH B[1] O[30] 6699.61:6699.61:6699.61 6694.79:6694.79:6694.79 +IOPATH B[1] O[31] 6832.83:6832.83:6832.83 6800.96:6800.96:6800.96 +IOPATH B[2] O[2] 2213.84:2213.84:2213.84 2280.83:2280.83:2280.83 +IOPATH B[2] O[3] 2393.86:2393.86:2393.86 2455.8:2455.8:2455.8 +IOPATH B[2] O[4] 2666.85:2666.85:2666.85 2702.08:2702.08:2702.08 +IOPATH B[2] O[5] 2928.36:2928.36:2928.36 2995.04:2995.04:2995.04 +IOPATH B[2] O[6] 2996.62:2996.62:2996.62 3077.57:3077.57:3077.57 +IOPATH B[2] O[7] 3093.03:3093.03:3093.03 3149.15:3149.15:3149.15 +IOPATH B[2] O[8] 4512.85:4512.85:4512.85 4500.29:4500.29:4500.29 +IOPATH B[2] O[9] 4690.16:4690.16:4690.16 4602.66:4602.66:4602.66 +IOPATH B[2] O[10] 4943.88:4943.88:4943.88 4892.84:4892.84:4892.84 +IOPATH B[2] O[11] 5142.26:5142.26:5142.26 5119.27:5119.27:5119.27 +IOPATH B[2] O[12] 5436.24:5436.24:5436.24 5393.31:5393.31:5393.31 +IOPATH B[2] O[13] 5592.77:5592.77:5592.77 5478.39:5478.39:5478.39 +IOPATH B[2] O[14] 5832:5832:5832 5761.34:5761.34:5761.34 +IOPATH B[2] O[15] 5696.25:5696.25:5696.25 5707.52:5707.52:5707.52 +IOPATH B[2] O[16] 5975.39:5975.39:5975.39 5899.83:5899.83:5899.83 +IOPATH B[2] O[17] 5923.9:5923.9:5923.9 5953:5953:5953 +IOPATH B[2] O[18] 5937.13:5937.13:5937.13 5962.51:5962.51:5962.51 +IOPATH B[2] O[19] 6192.69:6192.69:6192.69 6197.95:6197.95:6197.95 +IOPATH B[2] O[20] 6067.41:6067.41:6067.41 6044.99:6044.99:6044.99 +IOPATH B[2] O[21] 6047.75:6047.75:6047.75 6024.59:6024.59:6024.59 +IOPATH B[2] O[22] 6107.06:6107.06:6107.06 6113.11:6113.11:6113.11 +IOPATH B[2] O[23] 6187.86:6187.86:6187.86 6182.68:6182.68:6182.68 +IOPATH B[2] O[24] 6084.27:6084.27:6084.27 6118.08:6118.08:6118.08 +IOPATH B[2] O[25] 6165.78:6165.78:6165.78 6218.51:6218.51:6218.51 +IOPATH B[2] O[26] 6250.3:6250.3:6250.3 6299.52:6299.52:6299.52 +IOPATH B[2] O[27] 6343.89:6343.89:6343.89 6390.02:6390.02:6390.02 +IOPATH B[2] O[28] 6289.16:6289.16:6289.16 6308.93:6308.93:6308.93 +IOPATH B[2] O[29] 6433.02:6433.02:6433.02 6451.42:6451.42:6451.42 +IOPATH B[2] O[30] 6590.94:6590.94:6590.94 6586.12:6586.12:6586.12 +IOPATH B[2] O[31] 6724.16:6724.16:6724.16 6692.29:6692.29:6692.29 +IOPATH B[3] O[2] 1999.72:1999.72:1999.72 2066.71:2066.71:2066.71 +IOPATH B[3] O[3] 2332.38:2332.38:2332.38 2368.52:2368.52:2368.52 +IOPATH B[3] O[4] 2739.29:2739.29:2739.29 2774.51:2774.51:2774.51 +IOPATH B[3] O[5] 3000.79:3000.79:3000.79 3067.47:3067.47:3067.47 +IOPATH B[3] O[6] 3069.05:3069.05:3069.05 3150.01:3150.01:3150.01 +IOPATH B[3] O[7] 3165.46:3165.46:3165.46 3221.58:3221.58:3221.58 +IOPATH B[3] O[8] 4585.29:4585.29:4585.29 4572.72:4572.72:4572.72 +IOPATH B[3] O[9] 4762.6:4762.6:4762.6 4675.09:4675.09:4675.09 +IOPATH B[3] O[10] 5016.32:5016.32:5016.32 4965.27:4965.27:4965.27 +IOPATH B[3] O[11] 5214.69:5214.69:5214.69 5191.7:5191.7:5191.7 +IOPATH B[3] O[12] 5508.68:5508.68:5508.68 5465.74:5465.74:5465.74 +IOPATH B[3] O[13] 5665.21:5665.21:5665.21 5550.82:5550.82:5550.82 +IOPATH B[3] O[14] 5904.43:5904.43:5904.43 5833.77:5833.77:5833.77 +IOPATH B[3] O[15] 5768.68:5768.68:5768.68 5779.96:5779.96:5779.96 +IOPATH B[3] O[16] 6047.82:6047.82:6047.82 5972.26:5972.26:5972.26 +IOPATH B[3] O[17] 5996.33:5996.33:5996.33 6025.43:6025.43:6025.43 +IOPATH B[3] O[18] 6009.56:6009.56:6009.56 6034.94:6034.94:6034.94 +IOPATH B[3] O[19] 6265.13:6265.13:6265.13 6270.38:6270.38:6270.38 +IOPATH B[3] O[20] 6139.84:6139.84:6139.84 6117.42:6117.42:6117.42 +IOPATH B[3] O[21] 6120.18:6120.18:6120.18 6097.03:6097.03:6097.03 +IOPATH B[3] O[22] 6179.5:6179.5:6179.5 6185.54:6185.54:6185.54 +IOPATH B[3] O[23] 6260.3:6260.3:6260.3 6255.11:6255.11:6255.11 +IOPATH B[3] O[24] 6156.71:6156.71:6156.71 6190.52:6190.52:6190.52 +IOPATH B[3] O[25] 6238.22:6238.22:6238.22 6290.95:6290.95:6290.95 +IOPATH B[3] O[26] 6322.73:6322.73:6322.73 6371.95:6371.95:6371.95 +IOPATH B[3] O[27] 6416.32:6416.32:6416.32 6462.45:6462.45:6462.45 +IOPATH B[3] O[28] 6388.59:6388.59:6388.59 6383.62:6383.62:6383.62 +IOPATH B[3] O[29] 6532.45:6532.45:6532.45 6539.46:6539.46:6539.46 +IOPATH B[3] O[30] 6690.36:6690.36:6690.36 6685.55:6685.55:6685.55 +IOPATH B[3] O[31] 6823.59:6823.59:6823.59 6791.72:6791.72:6791.72 +IOPATH B[4] O[4] 2410.2:2410.2:2410.2 2445.42:2445.42:2445.42 +IOPATH B[4] O[5] 2671.7:2671.7:2671.7 2738.38:2738.38:2738.38 +IOPATH B[4] O[6] 2739.96:2739.96:2739.96 2823.66:2823.66:2823.66 +IOPATH B[4] O[7] 2939:2939:2939 2969.72:2969.72:2969.72 +IOPATH B[4] O[8] 4358.52:4358.52:4358.52 4345.95:4345.95:4345.95 +IOPATH B[4] O[9] 4535.83:4535.83:4535.83 4448.32:4448.32:4448.32 +IOPATH B[4] O[10] 4789.55:4789.55:4789.55 4738.51:4738.51:4738.51 +IOPATH B[4] O[11] 4987.92:4987.92:4987.92 4964.93:4964.93:4964.93 +IOPATH B[4] O[12] 5281.91:5281.91:5281.91 5238.97:5238.97:5238.97 +IOPATH B[4] O[13] 5438.44:5438.44:5438.44 5324.06:5324.06:5324.06 +IOPATH B[4] O[14] 5677.67:5677.67:5677.67 5607:5607:5607 +IOPATH B[4] O[15] 5541.91:5541.91:5541.91 5553.19:5553.19:5553.19 +IOPATH B[4] O[16] 5821.06:5821.06:5821.06 5745.5:5745.5:5745.5 +IOPATH B[4] O[17] 5769.57:5769.57:5769.57 5798.66:5798.66:5798.66 +IOPATH B[4] O[18] 5782.79:5782.79:5782.79 5808.17:5808.17:5808.17 +IOPATH B[4] O[19] 6038.36:6038.36:6038.36 6043.61:6043.61:6043.61 +IOPATH B[4] O[20] 5913.08:5913.08:5913.08 5890.66:5890.66:5890.66 +IOPATH B[4] O[21] 5893.41:5893.41:5893.41 5870.26:5870.26:5870.26 +IOPATH B[4] O[22] 5952.73:5952.73:5952.73 5958.78:5958.78:5958.78 +IOPATH B[4] O[23] 6033.53:6033.53:6033.53 6028.35:6028.35:6028.35 +IOPATH B[4] O[24] 5929.94:5929.94:5929.94 5963.75:5963.75:5963.75 +IOPATH B[4] O[25] 6011.45:6011.45:6011.45 6064.18:6064.18:6064.18 +IOPATH B[4] O[26] 6095.97:6095.97:6095.97 6145.18:6145.18:6145.18 +IOPATH B[4] O[27] 6189.56:6189.56:6189.56 6235.69:6235.69:6235.69 +IOPATH B[4] O[28] 6134.83:6134.83:6134.83 6154.6:6154.6:6154.6 +IOPATH B[4] O[29] 6278.69:6278.69:6278.69 6297.09:6297.09:6297.09 +IOPATH B[4] O[30] 6436.6:6436.6:6436.6 6431.79:6431.79:6431.79 +IOPATH B[4] O[31] 6569.83:6569.83:6569.83 6537.96:6537.96:6537.96 +IOPATH B[5] O[4] 2382.45:2382.45:2382.45 2417.67:2417.67:2417.67 +IOPATH B[5] O[5] 2643.95:2643.95:2643.95 2710.63:2710.63:2710.63 +IOPATH B[5] O[6] 2829.29:2829.29:2829.29 2919:2919:2919 +IOPATH B[5] O[7] 3033.53:3033.53:3033.53 3064.25:3064.25:3064.25 +IOPATH B[5] O[8] 4453.05:4453.05:4453.05 4440.49:4440.49:4440.49 +IOPATH B[5] O[9] 4630.36:4630.36:4630.36 4542.85:4542.85:4542.85 +IOPATH B[5] O[10] 4884.08:4884.08:4884.08 4833.04:4833.04:4833.04 +IOPATH B[5] O[11] 5082.45:5082.45:5082.45 5059.46:5059.46:5059.46 +IOPATH B[5] O[12] 5376.44:5376.44:5376.44 5333.51:5333.51:5333.51 +IOPATH B[5] O[13] 5532.97:5532.97:5532.97 5418.59:5418.59:5418.59 +IOPATH B[5] O[14] 5772.19:5772.19:5772.19 5701.53:5701.53:5701.53 +IOPATH B[5] O[15] 5636.45:5636.45:5636.45 5647.72:5647.72:5647.72 +IOPATH B[5] O[16] 6014.26:6014.26:6014.26 5938.7:5938.7:5938.7 +IOPATH B[5] O[17] 5962.77:5962.77:5962.77 5991.86:5991.86:5991.86 +IOPATH B[5] O[18] 5975.99:5975.99:5975.99 6001.37:6001.37:6001.37 +IOPATH B[5] O[19] 6231.56:6231.56:6231.56 6236.82:6236.82:6236.82 +IOPATH B[5] O[20] 6106.28:6106.28:6106.28 6083.86:6083.86:6083.86 +IOPATH B[5] O[21] 6086.62:6086.62:6086.62 6063.46:6063.46:6063.46 +IOPATH B[5] O[22] 6145.93:6145.93:6145.93 6151.98:6151.98:6151.98 +IOPATH B[5] O[23] 6226.73:6226.73:6226.73 6221.55:6221.55:6221.55 +IOPATH B[5] O[24] 6123.14:6123.14:6123.14 6156.95:6156.95:6156.95 +IOPATH B[5] O[25] 6204.65:6204.65:6204.65 6257.38:6257.38:6257.38 +IOPATH B[5] O[26] 6289.17:6289.17:6289.17 6338.39:6338.39:6338.39 +IOPATH B[5] O[27] 6382.76:6382.76:6382.76 6428.89:6428.89:6428.89 +IOPATH B[5] O[28] 6328.03:6328.03:6328.03 6347.8:6347.8:6347.8 +IOPATH B[5] O[29] 6471.89:6471.89:6471.89 6490.29:6490.29:6490.29 +IOPATH B[5] O[30] 6629.8:6629.8:6629.8 6624.99:6624.99:6624.99 +IOPATH B[5] O[31] 6763.03:6763.03:6763.03 6731.16:6731.16:6731.16 +IOPATH B[6] O[6] 2385.26:2385.26:2385.26 2474.96:2474.96:2474.96 +IOPATH B[6] O[7] 2513.33:2513.33:2513.33 2571.54:2571.54:2571.54 +IOPATH B[6] O[8] 3932.85:3932.85:3932.85 3920.29:3920.29:3920.29 +IOPATH B[6] O[9] 4110.16:4110.16:4110.16 4022.66:4022.66:4022.66 +IOPATH B[6] O[10] 4369.61:4369.61:4369.61 4318.57:4318.57:4318.57 +IOPATH B[6] O[11] 4567.99:4567.99:4567.99 4545:4545:4545 +IOPATH B[6] O[12] 4861.98:4861.98:4861.98 4813.31:4813.31:4813.31 +IOPATH B[6] O[13] 5018.51:5018.51:5018.51 4900.68:4900.68:4900.68 +IOPATH B[6] O[14] 5257.73:5257.73:5257.73 5187.07:5187.07:5187.07 +IOPATH B[6] O[15] 5121.98:5121.98:5121.98 5133.25:5133.25:5133.25 +IOPATH B[6] O[16] 5689.37:5689.37:5689.37 5613.8:5613.8:5613.8 +IOPATH B[6] O[17] 5637.87:5637.87:5637.87 5666.97:5666.97:5666.97 +IOPATH B[6] O[18] 5651.1:5651.1:5651.1 5676.48:5676.48:5676.48 +IOPATH B[6] O[19] 5906.67:5906.67:5906.67 5911.92:5911.92:5911.92 +IOPATH B[6] O[20] 5781.39:5781.39:5781.39 5758.97:5758.97:5758.97 +IOPATH B[6] O[21] 5761.72:5761.72:5761.72 5738.57:5738.57:5738.57 +IOPATH B[6] O[22] 5821.04:5821.04:5821.04 5827.09:5827.09:5827.09 +IOPATH B[6] O[23] 5901.84:5901.84:5901.84 5896.66:5896.66:5896.66 +IOPATH B[6] O[24] 5798.25:5798.25:5798.25 5832.06:5832.06:5832.06 +IOPATH B[6] O[25] 5879.76:5879.76:5879.76 5932.49:5932.49:5932.49 +IOPATH B[6] O[26] 5964.27:5964.27:5964.27 6013.49:6013.49:6013.49 +IOPATH B[6] O[27] 6057.87:6057.87:6057.87 6103.99:6103.99:6103.99 +IOPATH B[6] O[28] 6003.14:6003.14:6003.14 6022.91:6022.91:6022.91 +IOPATH B[6] O[29] 6147:6147:6147 6165.4:6165.4:6165.4 +IOPATH B[6] O[30] 6304.91:6304.91:6304.91 6300.1:6300.1:6300.1 +IOPATH B[6] O[31] 6438.14:6438.14:6438.14 6406.27:6406.27:6406.27 +IOPATH B[7] O[6] 2278.12:2278.12:2278.12 2367.82:2367.82:2367.82 +IOPATH B[7] O[7] 2406.24:2406.24:2406.24 2464.4:2464.4:2464.4 +IOPATH B[7] O[8] 3981.01:3981.01:3981.01 3953.8:3953.8:3953.8 +IOPATH B[7] O[9] 4270.18:4270.18:4270.18 4163.29:4163.29:4163.29 +IOPATH B[7] O[10] 4561.14:4561.14:4561.14 4510.1:4510.1:4510.1 +IOPATH B[7] O[11] 4759.52:4759.52:4759.52 4736.53:4736.53:4736.53 +IOPATH B[7] O[12] 5053.5:5053.5:5053.5 5003.18:5003.18:5003.18 +IOPATH B[7] O[13] 5210.04:5210.04:5210.04 5092.21:5092.21:5092.21 +IOPATH B[7] O[14] 5449.26:5449.26:5449.26 5378.6:5378.6:5378.6 +IOPATH B[7] O[15] 5313.51:5313.51:5313.51 5324.78:5324.78:5324.78 +IOPATH B[7] O[16] 5880.9:5880.9:5880.9 5805.33:5805.33:5805.33 +IOPATH B[7] O[17] 5829.4:5829.4:5829.4 5858.5:5858.5:5858.5 +IOPATH B[7] O[18] 5842.63:5842.63:5842.63 5868.01:5868.01:5868.01 +IOPATH B[7] O[19] 6098.2:6098.2:6098.2 6103.45:6103.45:6103.45 +IOPATH B[7] O[20] 5972.91:5972.91:5972.91 5950.49:5950.49:5950.49 +IOPATH B[7] O[21] 5953.25:5953.25:5953.25 5930.1:5930.1:5930.1 +IOPATH B[7] O[22] 6012.57:6012.57:6012.57 6018.62:6018.62:6018.62 +IOPATH B[7] O[23] 6093.37:6093.37:6093.37 6088.19:6088.19:6088.19 +IOPATH B[7] O[24] 5989.78:5989.78:5989.78 6023.59:6023.59:6023.59 +IOPATH B[7] O[25] 6071.29:6071.29:6071.29 6124.02:6124.02:6124.02 +IOPATH B[7] O[26] 6155.8:6155.8:6155.8 6205.02:6205.02:6205.02 +IOPATH B[7] O[27] 6249.4:6249.4:6249.4 6295.52:6295.52:6295.52 +IOPATH B[7] O[28] 6194.67:6194.67:6194.67 6214.44:6214.44:6214.44 +IOPATH B[7] O[29] 6338.53:6338.53:6338.53 6356.93:6356.93:6356.93 +IOPATH B[7] O[30] 6496.44:6496.44:6496.44 6491.63:6491.63:6491.63 +IOPATH B[7] O[31] 6629.67:6629.67:6629.67 6597.8:6597.8:6597.8 +IOPATH B[8] O[8] 2283.47:2283.47:2283.47 2255.25:2255.25:2255.25 +IOPATH B[8] O[9] 2593.55:2593.55:2593.55 2465.28:2465.28:2465.28 +IOPATH B[8] O[10] 3104.28:3104.28:3104.28 3046.81:3046.81:3046.81 +IOPATH B[8] O[11] 3361.95:3361.95:3361.95 3314:3314:3314 +IOPATH B[8] O[12] 3639.48:3639.48:3639.48 3630.25:3630.25:3630.25 +IOPATH B[8] O[13] 3796.03:3796.03:3796.03 3715.33:3715.33:3715.33 +IOPATH B[8] O[14] 4055.67:4055.67:4055.67 3988.29:3988.29:3988.29 +IOPATH B[8] O[15] 3919.92:3919.92:3919.92 3931.2:3931.2:3931.2 +IOPATH B[8] O[16] 4628.9:4628.9:4628.9 4525.01:4525.01:4525.01 +IOPATH B[8] O[17] 4599.2:4599.2:4599.2 4628.29:4628.29:4628.29 +IOPATH B[8] O[18] 4612.43:4612.43:4612.43 4637.8:4637.8:4637.8 +IOPATH B[8] O[19] 4867.99:4867.99:4867.99 4873.25:4873.25:4873.25 +IOPATH B[8] O[20] 5146.21:5146.21:5146.21 5133.1:5133.1:5133.1 +IOPATH B[8] O[21] 5126.55:5126.55:5126.55 5103.39:5103.39:5103.39 +IOPATH B[8] O[22] 5185.87:5185.87:5185.87 5191.91:5191.91:5191.91 +IOPATH B[8] O[23] 5266.67:5266.67:5266.67 5261.48:5261.48:5261.48 +IOPATH B[8] O[24] 5391.81:5391.81:5391.81 5378.05:5378.05:5378.05 +IOPATH B[8] O[25] 5488.99:5488.99:5488.99 5491.3:5491.3:5491.3 +IOPATH B[8] O[26] 5587.11:5587.11:5587.11 5585.85:5585.85:5585.85 +IOPATH B[8] O[27] 5691.74:5691.74:5691.74 5687.66:5687.66:5687.66 +IOPATH B[8] O[28] 5802.5:5802.5:5802.5 5797.53:5797.53:5797.53 +IOPATH B[8] O[29] 5946.37:5946.37:5946.37 5953.38:5953.38:5953.38 +IOPATH B[8] O[30] 6104.28:6104.28:6104.28 6099.46:6099.46:6099.46 +IOPATH B[8] O[31] 6237.5:6237.5:6237.5 6205.63:6205.63:6205.63 +IOPATH B[9] O[8] 2527.03:2527.03:2527.03 2494.7:2494.7:2494.7 +IOPATH B[9] O[9] 2837.21:2837.21:2837.21 2708.94:2708.94:2708.94 +IOPATH B[9] O[10] 3396.5:3396.5:3396.5 3339.03:3339.03:3339.03 +IOPATH B[9] O[11] 3654.19:3654.19:3654.19 3606.24:3606.24:3606.24 +IOPATH B[9] O[12] 3931.72:3931.72:3931.72 3922.49:3922.49:3922.49 +IOPATH B[9] O[13] 4119.88:4119.88:4119.88 4007.57:4007.57:4007.57 +IOPATH B[9] O[14] 4383.54:4383.54:4383.54 4312.88:4312.88:4312.88 +IOPATH B[9] O[15] 4247.79:4247.79:4247.79 4259.06:4259.06:4259.06 +IOPATH B[9] O[16] 4956.82:4956.82:4956.82 4852.93:4852.93:4852.93 +IOPATH B[9] O[17] 4927.12:4927.12:4927.12 4956.21:4956.21:4956.21 +IOPATH B[9] O[18] 4940.34:4940.34:4940.34 4965.72:4965.72:4965.72 +IOPATH B[9] O[19] 5195.91:5195.91:5195.91 5201.17:5201.17:5201.17 +IOPATH B[9] O[20] 5474.13:5474.13:5474.13 5461.02:5461.02:5461.02 +IOPATH B[9] O[21] 5454.47:5454.47:5454.47 5431.31:5431.31:5431.31 +IOPATH B[9] O[22] 5513.79:5513.79:5513.79 5519.83:5519.83:5519.83 +IOPATH B[9] O[23] 5594.58:5594.58:5594.58 5589.4:5589.4:5589.4 +IOPATH B[9] O[24] 5719.72:5719.72:5719.72 5705.96:5705.96:5705.96 +IOPATH B[9] O[25] 5816.91:5816.91:5816.91 5819.22:5819.22:5819.22 +IOPATH B[9] O[26] 5915.03:5915.03:5915.03 5913.77:5913.77:5913.77 +IOPATH B[9] O[27] 6019.66:6019.66:6019.66 6015.58:6015.58:6015.58 +IOPATH B[9] O[28] 6130.42:6130.42:6130.42 6125.45:6125.45:6125.45 +IOPATH B[9] O[29] 6274.28:6274.28:6274.28 6281.3:6281.3:6281.3 +IOPATH B[9] O[30] 6432.2:6432.2:6432.2 6427.38:6427.38:6427.38 +IOPATH B[9] O[31] 6565.42:6565.42:6565.42 6533.55:6533.55:6533.55 +IOPATH B[10] O[10] 3137.58:3137.58:3137.58 3080.11:3080.11:3080.11 +IOPATH B[10] O[11] 3395.25:3395.25:3395.25 3347.3:3347.3:3347.3 +IOPATH B[10] O[12] 3706.5:3706.5:3706.5 3663.55:3663.55:3663.55 +IOPATH B[10] O[13] 3956.98:3956.98:3956.98 3812.73:3812.73:3812.73 +IOPATH B[10] O[14] 4220.64:4220.64:4220.64 4149.99:4149.99:4149.99 +IOPATH B[10] O[15] 4084.89:4084.89:4084.89 4096.16:4096.16:4096.16 +IOPATH B[10] O[16] 4793.27:4793.27:4793.27 4689.38:4689.38:4689.38 +IOPATH B[10] O[17] 4763.57:4763.57:4763.57 4792.67:4792.67:4792.67 +IOPATH B[10] O[18] 4776.8:4776.8:4776.8 4802.18:4802.18:4802.18 +IOPATH B[10] O[19] 5032.37:5032.37:5032.37 5037.62:5037.62:5037.62 +IOPATH B[10] O[20] 5310.59:5310.59:5310.59 5297.47:5297.47:5297.47 +IOPATH B[10] O[21] 5290.92:5290.92:5290.92 5267.77:5267.77:5267.77 +IOPATH B[10] O[22] 5350.24:5350.24:5350.24 5356.29:5356.29:5356.29 +IOPATH B[10] O[23] 5431.04:5431.04:5431.04 5425.86:5425.86:5425.86 +IOPATH B[10] O[24] 5556.18:5556.18:5556.18 5542.42:5542.42:5542.42 +IOPATH B[10] O[25] 5653.37:5653.37:5653.37 5655.67:5655.67:5655.67 +IOPATH B[10] O[26] 5751.48:5751.48:5751.48 5750.22:5750.22:5750.22 +IOPATH B[10] O[27] 5856.11:5856.11:5856.11 5852.03:5852.03:5852.03 +IOPATH B[10] O[28] 5966.88:5966.88:5966.88 5961.91:5961.91:5961.91 +IOPATH B[10] O[29] 6110.74:6110.74:6110.74 6117.75:6117.75:6117.75 +IOPATH B[10] O[30] 6268.65:6268.65:6268.65 6263.83:6263.83:6263.83 +IOPATH B[10] O[31] 6401.88:6401.88:6401.88 6370:6370:6370 +IOPATH B[11] O[10] 3082.13:3082.13:3082.13 3024.67:3024.67:3024.67 +IOPATH B[11] O[11] 3517.42:3517.42:3517.42 3469.47:3469.47:3469.47 +IOPATH B[11] O[12] 3860.56:3860.56:3860.56 3785.72:3785.72:3785.72 +IOPATH B[11] O[13] 4110.92:4110.92:4110.92 3966.67:3966.67:3966.67 +IOPATH B[11] O[14] 4374.58:4374.58:4374.58 4303.93:4303.93:4303.93 +IOPATH B[11] O[15] 4238.83:4238.83:4238.83 4250.11:4250.11:4250.11 +IOPATH B[11] O[16] 4945.82:4945.82:4945.82 4841.93:4841.93:4841.93 +IOPATH B[11] O[17] 4916.12:4916.12:4916.12 4945.21:4945.21:4945.21 +IOPATH B[11] O[18] 4929.35:4929.35:4929.35 4954.73:4954.73:4954.73 +IOPATH B[11] O[19] 5184.91:5184.91:5184.91 5190.17:5190.17:5190.17 +IOPATH B[11] O[20] 5463.13:5463.13:5463.13 5450.02:5450.02:5450.02 +IOPATH B[11] O[21] 5443.47:5443.47:5443.47 5420.32:5420.32:5420.32 +IOPATH B[11] O[22] 5502.79:5502.79:5502.79 5508.83:5508.83:5508.83 +IOPATH B[11] O[23] 5583.59:5583.59:5583.59 5578.4:5578.4:5578.4 +IOPATH B[11] O[24] 5708.73:5708.73:5708.73 5694.97:5694.97:5694.97 +IOPATH B[11] O[25] 5805.91:5805.91:5805.91 5808.22:5808.22:5808.22 +IOPATH B[11] O[26] 5904.03:5904.03:5904.03 5902.77:5902.77:5902.77 +IOPATH B[11] O[27] 6008.66:6008.66:6008.66 6004.58:6004.58:6004.58 +IOPATH B[11] O[28] 6119.42:6119.42:6119.42 6114.45:6114.45:6114.45 +IOPATH B[11] O[29] 6263.29:6263.29:6263.29 6270.3:6270.3:6270.3 +IOPATH B[11] O[30] 6421.2:6421.2:6421.2 6416.38:6416.38:6416.38 +IOPATH B[11] O[31] 6554.42:6554.42:6554.42 6522.55:6522.55:6522.55 +IOPATH B[12] O[12] 3436.98:3436.98:3436.98 3350.22:3350.22:3350.22 +IOPATH B[12] O[13] 3687.34:3687.34:3687.34 3543.1:3543.1:3543.1 +IOPATH B[12] O[14] 3983.81:3983.81:3983.81 3886.73:3886.73:3886.73 +IOPATH B[12] O[15] 3982.18:3982.18:3982.18 3968.39:3968.39:3968.39 +IOPATH B[12] O[16] 4695.28:4695.28:4695.28 4591.39:4591.39:4591.39 +IOPATH B[12] O[17] 4665.58:4665.58:4665.58 4694.67:4694.67:4694.67 +IOPATH B[12] O[18] 4678.81:4678.81:4678.81 4704.18:4704.18:4704.18 +IOPATH B[12] O[19] 4934.37:4934.37:4934.37 4939.63:4939.63:4939.63 +IOPATH B[12] O[20] 5212.59:5212.59:5212.59 5199.48:5199.48:5199.48 +IOPATH B[12] O[21] 5192.93:5192.93:5192.93 5169.77:5169.77:5169.77 +IOPATH B[12] O[22] 5252.25:5252.25:5252.25 5258.29:5258.29:5258.29 +IOPATH B[12] O[23] 5333.04:5333.04:5333.04 5327.86:5327.86:5327.86 +IOPATH B[12] O[24] 5458.18:5458.18:5458.18 5444.43:5444.43:5444.43 +IOPATH B[12] O[25] 5555.37:5555.37:5555.37 5557.68:5557.68:5557.68 +IOPATH B[12] O[26] 5653.49:5653.49:5653.49 5652.23:5652.23:5652.23 +IOPATH B[12] O[27] 5758.12:5758.12:5758.12 5754.04:5754.04:5754.04 +IOPATH B[12] O[28] 5868.88:5868.88:5868.88 5863.91:5863.91:5863.91 +IOPATH B[12] O[29] 6012.75:6012.75:6012.75 6019.76:6019.76:6019.76 +IOPATH B[12] O[30] 6170.66:6170.66:6170.66 6165.84:6165.84:6165.84 +IOPATH B[12] O[31] 6303.88:6303.88:6303.88 6272.01:6272.01:6272.01 +IOPATH B[13] O[12] 3439.8:3439.8:3439.8 3353.03:3353.03:3353.03 +IOPATH B[13] O[13] 3690.28:3690.28:3690.28 3546.03:3546.03:3546.03 +IOPATH B[13] O[14] 3987.65:3987.65:3987.65 3890.46:3890.46:3890.46 +IOPATH B[13] O[15] 3990.06:3990.06:3990.06 3976.28:3976.28:3976.28 +IOPATH B[13] O[16] 4703.16:4703.16:4703.16 4599.28:4599.28:4599.28 +IOPATH B[13] O[17] 4673.46:4673.46:4673.46 4702.56:4702.56:4702.56 +IOPATH B[13] O[18] 4686.69:4686.69:4686.69 4712.07:4712.07:4712.07 +IOPATH B[13] O[19] 4942.26:4942.26:4942.26 4947.51:4947.51:4947.51 +IOPATH B[13] O[20] 5220.48:5220.48:5220.48 5207.37:5207.37:5207.37 +IOPATH B[13] O[21] 5200.82:5200.82:5200.82 5177.66:5177.66:5177.66 +IOPATH B[13] O[22] 5260.13:5260.13:5260.13 5266.18:5266.18:5266.18 +IOPATH B[13] O[23] 5340.93:5340.93:5340.93 5335.75:5335.75:5335.75 +IOPATH B[13] O[24] 5508.39:5508.39:5508.39 5494.63:5494.63:5494.63 +IOPATH B[13] O[25] 5605.58:5605.58:5605.58 5607.89:5607.89:5607.89 +IOPATH B[13] O[26] 5703.7:5703.7:5703.7 5702.44:5702.44:5702.44 +IOPATH B[13] O[27] 5808.33:5808.33:5808.33 5804.25:5804.25:5804.25 +IOPATH B[13] O[28] 5919.09:5919.09:5919.09 5914.12:5914.12:5914.12 +IOPATH B[13] O[29] 6062.95:6062.95:6062.95 6069.97:6069.97:6069.97 +IOPATH B[13] O[30] 6220.87:6220.87:6220.87 6216.05:6216.05:6216.05 +IOPATH B[13] O[31] 6354.09:6354.09:6354.09 6322.22:6322.22:6322.22 +IOPATH B[14] O[14] 3524.28:3524.28:3524.28 3427.08:3427.08:3427.08 +IOPATH B[14] O[15] 3461.31:3461.31:3461.31 3447.53:3447.53:3447.53 +IOPATH B[14] O[16] 4174.41:4174.41:4174.41 4070.52:4070.52:4070.52 +IOPATH B[14] O[17] 4144.71:4144.71:4144.71 4173.81:4173.81:4173.81 +IOPATH B[14] O[18] 4157.94:4157.94:4157.94 4183.32:4183.32:4183.32 +IOPATH B[14] O[19] 4413.51:4413.51:4413.51 4418.76:4418.76:4418.76 +IOPATH B[14] O[20] 4691.73:4691.73:4691.73 4678.62:4678.62:4678.62 +IOPATH B[14] O[21] 4672.07:4672.07:4672.07 4648.91:4648.91:4648.91 +IOPATH B[14] O[22] 4731.39:4731.39:4731.39 4737.43:4737.43:4737.43 +IOPATH B[14] O[23] 4812.18:4812.18:4812.18 4807:4807:4807 +IOPATH B[14] O[24] 5200.54:5200.54:5200.54 5186.78:5186.78:5186.78 +IOPATH B[14] O[25] 5297.73:5297.73:5297.73 5300.03:5300.03:5300.03 +IOPATH B[14] O[26] 5395.84:5395.84:5395.84 5394.58:5394.58:5394.58 +IOPATH B[14] O[27] 5500.47:5500.47:5500.47 5496.39:5496.39:5496.39 +IOPATH B[14] O[28] 5611.23:5611.23:5611.23 5606.27:5606.27:5606.27 +IOPATH B[14] O[29] 5755.1:5755.1:5755.1 5762.11:5762.11:5762.11 +IOPATH B[14] O[30] 5913.01:5913.01:5913.01 5908.19:5908.19:5908.19 +IOPATH B[14] O[31] 6046.24:6046.24:6046.24 6014.36:6014.36:6014.36 +IOPATH B[15] O[14] 3692.79:3692.79:3692.79 3595.59:3595.59:3595.59 +IOPATH B[15] O[15] 3629.87:3629.87:3629.87 3616.09:3616.09:3616.09 +IOPATH B[15] O[16] 4432.11:4432.11:4432.11 4328.22:4328.22:4328.22 +IOPATH B[15] O[17] 4483.91:4483.91:4483.91 4485.47:4485.47:4485.47 +IOPATH B[15] O[18] 4521.15:4521.15:4521.15 4546.53:4546.53:4546.53 +IOPATH B[15] O[19] 4780.61:4780.61:4780.61 4785.86:4785.86:4785.86 +IOPATH B[15] O[20] 5088.28:5088.28:5088.28 5075.17:5075.17:5075.17 +IOPATH B[15] O[21] 5068.62:5068.62:5068.62 5045.46:5045.46:5045.46 +IOPATH B[15] O[22] 5127.94:5127.94:5127.94 5133.98:5133.98:5133.98 +IOPATH B[15] O[23] 5208.74:5208.74:5208.74 5203.55:5203.55:5203.55 +IOPATH B[15] O[24] 5651.62:5651.62:5651.62 5637.86:5637.86:5637.86 +IOPATH B[15] O[25] 5748.81:5748.81:5748.81 5751.11:5751.11:5751.11 +IOPATH B[15] O[26] 5846.92:5846.92:5846.92 5845.66:5845.66:5845.66 +IOPATH B[15] O[27] 5951.55:5951.55:5951.55 5947.47:5947.47:5947.47 +IOPATH B[15] O[28] 6062.32:6062.32:6062.32 6057.35:6057.35:6057.35 +IOPATH B[15] O[29] 6206.18:6206.18:6206.18 6213.19:6213.19:6213.19 +IOPATH B[15] O[30] 6364.09:6364.09:6364.09 6359.27:6359.27:6359.27 +IOPATH B[15] O[31] 6497.32:6497.32:6497.32 6465.45:6465.45:6465.45 + +CELL SB_MAC16_MUL_S_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.676:172.676:172.676 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.49:149.49:149.49 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.831:133.831:133.831 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 181.24:181.24:181.24 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.51:-22.51:-22.51 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.687:-49.687:-49.687 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 +SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 +SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.19:147.19:147.19 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 +SETUP negedge:OHOLDBOT posedge:CLK 313.067:313.067:313.067 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -26:-26:-26 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.874:1.874:1.874 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 141.251:141.251:141.251 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 +SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.814:254.814:254.814 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.93:2654.93:2654.93 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.92:3200.92:3200.92 +IOPATH posedge:CLK O[0] 1027.43:1027.43:1027.43 1150.96:1150.96:1150.96 +IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 +IOPATH posedge:CLK O[2] 1058.18:1058.18:1058.18 1161.28:1161.28:1161.28 +IOPATH posedge:CLK O[3] 1044.43:1044.43:1044.43 1109.02:1109.02:1109.02 +IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 +IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 +IOPATH posedge:CLK O[6] 998.842:998.842:998.842 1063.55:1063.55:1063.55 +IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 +IOPATH posedge:CLK O[8] 1372.68:1372.68:1372.68 1362.75:1362.75:1362.75 +IOPATH posedge:CLK O[9] 1328.97:1328.97:1328.97 1252.16:1252.16:1252.16 +IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 +IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 +IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 +IOPATH posedge:CLK O[13] 1367:1367:1367 1259.97:1259.97:1259.97 +IOPATH posedge:CLK O[14] 1489.01:1489.01:1489.01 1425.49:1425.49:1425.49 +IOPATH posedge:CLK O[15] 1196.78:1196.78:1196.78 1196.78:1196.78:1196.78 +IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 +IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 +IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 +IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 +IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 +IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 +IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 +IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 +IOPATH posedge:CLK O[24] 1175.76:1175.76:1175.76 1159.14:1159.14:1159.14 +IOPATH posedge:CLK O[25] 1139.71:1139.71:1139.71 1143.02:1143.02:1143.02 +IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 +IOPATH posedge:CLK O[27] 1173.94:1173.94:1173.94 1169.11:1169.11:1169.11 +IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 +IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 +IOPATH posedge:CLK O[30] 1229.97:1229.97:1229.97 1238.61:1238.61:1238.61 +IOPATH posedge:CLK O[31] 1257.99:1257.99:1257.99 1290.09:1290.09:1290.09 +IOPATH posedge:CLK SIGNEXTOUT 1465.55:1465.55:1465.55 1431.36:1431.36:1431.36 + +CELL SB_MAC16_MUL_U_8X8_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 +SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 +SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 +SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 +SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 +IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 +IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 +IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 +IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 +IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 +IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 +IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 +IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 +IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 +IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 +IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 +IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 +IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 +IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 +IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 +IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 +IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 +IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 +IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 +IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 +IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 +IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 +IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 +IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 +IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 +IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 +IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 +IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 +IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 +IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 +IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 +IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 +IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 + +CELL SB_MAC16_MUL_U_8X8_BYPASS +IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 +IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 +IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11 +IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22 +IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41 +IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23 +IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28 +IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68 +IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49 +IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46 +IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07 +IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8 +IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26 +IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91 +IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49 +IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84 +IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51 +IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43 +IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 +IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 +IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63 +IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82 +IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65 +IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55 +IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7 +IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51 +IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48 +IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74 +IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48 +IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94 +IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58 +IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17 +IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51 +IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18 +IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11 +IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 +IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 +IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93 +IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76 +IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66 +IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678 +IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81 +IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77 +IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34 +IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08 +IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54 +IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18 +IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77 +IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11 +IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78 +IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71 +IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 +IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 +IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27 +IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26 +IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41 +IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22 +IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19 +IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98 +IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72 +IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18 +IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83 +IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41 +IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75 +IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43 +IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35 +IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 +IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 +IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37 +IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52 +IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33 +IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3 +IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62 +IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36 +IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82 +IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46 +IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05 +IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39 +IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06 +IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99 +IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 +IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 +IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32 +IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62 +IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52 +IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2 +IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93 +IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39 +IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04 +IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62 +IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97 +IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64 +IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57 +IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 +IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 +IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91 +IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83 +IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52 +IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25 +IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71 +IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36 +IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94 +IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29 +IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96 +IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88 +IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 +IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 +IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96 +IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64 +IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38 +IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84 +IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48 +IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07 +IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41 +IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08 +IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01 +IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 +IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 +IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34 +IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42 +IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77 +IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42 +IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82 +IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46 +IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37 +IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29 +IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18 +IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16 +IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54 +IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63 +IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68 +IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6 +IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84 +IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55 +IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 +IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 +IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56 +IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45 +IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39 +IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56 +IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37 +IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28 +IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2 +IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51 +IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49 +IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88 +IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97 +IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02 +IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94 +IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18 +IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89 +IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 +IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 +IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54 +IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27 +IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08 +IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74 +IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65 +IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57 +IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72 +IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7 +IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08 +IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17 +IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22 +IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14 +IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38 +IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09 +IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 +IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 +IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42 +IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78 +IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36 +IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27 +IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19 +IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15 +IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13 +IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52 +IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61 +IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66 +IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58 +IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82 +IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53 +IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 +IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 +IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8 +IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38 +IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29 +IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21 +IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49 +IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47 +IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85 +IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94 +IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99 +IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91 +IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15 +IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86 +IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 +IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 +IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28 +IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56 +IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18 +IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28 +IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26 +IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64 +IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73 +IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79 +IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7 +IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94 +IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65 +IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 +IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 +IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4 +IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9 +IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462 +IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98 +IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36 +IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45 +IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51 +IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42 +IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66 +IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37 +IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 +IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 +IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12 +IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22 +IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2 +IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58 +IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67 +IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72 +IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64 +IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88 +IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45 +IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12 +IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75 +IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59 +IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94 +IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09 +IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9 +IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87 +IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46 +IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2 +IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66 +IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31 +IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89 +IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23 +IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91 +IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83 +IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24 +IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64 +IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37 +IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21 +IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08 +IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24 +IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05 +IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02 +IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12 +IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86 +IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32 +IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96 +IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55 +IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89 +IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56 +IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49 +IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42 +IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24 +IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7 +IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86 +IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67 +IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64 +IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45 +IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19 +IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65 +IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29 +IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88 +IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22 +IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89 +IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82 +IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63 +IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29 +IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14 +IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29 +IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1 +IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07 +IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89 +IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62 +IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08 +IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73 +IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31 +IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66 +IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33 +IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25 +IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15 +IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31 +IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65 +IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23 +IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92 +IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65 +IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11 +IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76 +IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34 +IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68 +IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36 +IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28 +IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4 +IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56 +IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11 +IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88 +IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56 +IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3 +IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76 +IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4 +IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7 +IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03 +IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7 +IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63 +IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49 +IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46 +IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64 +IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38 +IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32 +IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57 +IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8 +IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14 +IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81 +IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74 +IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75 +IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72 +IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05 +IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92 +IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85 +IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1 +IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33 +IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67 +IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34 +IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26 +IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92 +IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99 +IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71 +IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46 +IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47 +IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04 +IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95 +IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87 +IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26 +IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24 +IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63 +IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72 +IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77 +IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69 +IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93 +IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64 +IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52 +IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24 +IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54 +IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28 +IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27 +IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84 +IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75 +IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67 +IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83 +IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81 +IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19 +IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29 +IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34 +IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26 +IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5 +IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21 +IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14 +IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86 +IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5 +IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08 +IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99 +IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82 +IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92 +IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9 +IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28 +IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37 +IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42 +IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34 +IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58 +IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29 +IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48 +IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667 +IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61 +IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18 +IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09 +IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92 +IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02 +IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946 +IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38 +IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47 +IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52 +IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44 +IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68 +IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39 +IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92 +IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5 +IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97 +IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47 +IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57 +IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55 +IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93 +IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02 +IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08 +IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99 +IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23 +IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94 +IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66 +IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24 +IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18 +IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95 +IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05 +IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03 +IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41 +IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5 +IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41 +IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32 +IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56 +IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27 +IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43 +IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35 +IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44 +IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42 +IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8 +IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89 +IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1 +IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716 +IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24 +IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95 +IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53 +IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5 +IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27 +IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41 +IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26 +IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76 +IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38 +IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28 +IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52 +IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23 + +CELL SB_MAC16_MUL_U_16X16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 +SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 +SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 +SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 +SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9 IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02 -IOPATH posedge:CLK O[0] 1027.43:1027.43:1027.43 1150.96:1150.96:1150.96 -IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 -IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27 -IOPATH posedge:CLK O[2] 1058.18:1058.18:1058.18 1161.28:1161.28:1161.28 -IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72 -IOPATH posedge:CLK O[3] 1044.43:1044.43:1044.43 1109.02:1109.02:1109.02 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 -IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27 -IOPATH posedge:CLK O[6] 998.842:998.842:998.842 1063.55:1063.55:1063.55 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 -IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75 -IOPATH posedge:CLK O[8] 1372.68:1372.68:1372.68 1362.75:1362.75:1362.75 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 -IOPATH posedge:CLK O[9] 1328.97:1328.97:1328.97 1252.16:1252.16:1252.16 IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 -IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 -IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 -IOPATH posedge:CLK O[13] 1367:1367:1367 1259.97:1259.97:1259.97 IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21 -IOPATH posedge:CLK O[14] 1489.01:1489.01:1489.01 1425.49:1425.49:1425.49 -IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[15] 1196.78:1196.78:1196.78 1196.78:1196.78:1196.78 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 -IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 -IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 -IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 -IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 -IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 -IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[24] 1175.76:1175.76:1175.76 1159.14:1159.14:1159.14 IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15 -IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 -IOPATH posedge:CLK O[25] 1139.71:1139.71:1139.71 1143.02:1143.02:1143.02 IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 -IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[27] 1173.94:1173.94:1173.94 1169.11:1169.11:1169.11 IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11 -IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 -IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 -IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[30] 1229.97:1229.97:1229.97 1238.61:1238.61:1238.61 IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62 -IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK O[31] 1257.99:1257.99:1257.99 1290.09:1290.09:1290.09 IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08 -IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 -IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91 -IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 -IOPATH posedge:CLK SIGNEXTOUT 1465.55:1465.55:1465.55 1431.36:1431.36:1431.36 + +CELL SB_MAC16_MUL_U_16X16_BYPASS +IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 +IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 +IOPATH A[0] O[0] 1549.1:1549.1:1549.1 1656.78:1656.78:1656.78 +IOPATH A[0] O[1] 1688.97:1688.97:1688.97 1784.31:1784.31:1784.31 +IOPATH A[0] O[2] 1894.83:1894.83:1894.83 1961.82:1961.82:1961.82 +IOPATH A[0] O[3] 2074.85:2074.85:2074.85 2136.79:2136.79:2136.79 +IOPATH A[0] O[4] 2231.67:2231.67:2231.67 2292.55:2292.55:2292.55 +IOPATH A[0] O[5] 2375.06:2375.06:2375.06 2441.76:2441.76:2441.76 +IOPATH A[0] O[6] 2443.34:2443.34:2443.34 2524.3:2524.3:2524.3 +IOPATH A[0] O[7] 2539.75:2539.75:2539.75 2595.87:2595.87:2595.87 +IOPATH A[0] O[8] 3731.6:3731.6:3731.6 3719.03:3719.03:3719.03 +IOPATH A[0] O[9] 3908.9:3908.9:3908.9 3821.4:3821.4:3821.4 +IOPATH A[0] O[10] 4162.63:4162.63:4162.63 4111.58:4111.58:4111.58 +IOPATH A[0] O[11] 4361:4361:4361 4338.01:4338.01:4338.01 +IOPATH A[0] O[12] 4654.99:4654.99:4654.99 4612.05:4612.05:4612.05 +IOPATH A[0] O[13] 4811.52:4811.52:4811.52 4697.13:4697.13:4697.13 +IOPATH A[0] O[14] 5050.74:5050.74:5050.74 4980.08:4980.08:4980.08 +IOPATH A[0] O[15] 4914.99:4914.99:4914.99 4926.27:4926.27:4926.27 +IOPATH A[0] O[16] 5259.21:5259.21:5259.21 5183.65:5183.65:5183.65 +IOPATH A[0] O[17] 5207.72:5207.72:5207.72 5236.81:5236.81:5236.81 +IOPATH A[0] O[18] 5220.94:5220.94:5220.94 5246.32:5246.32:5246.32 +IOPATH A[0] O[19] 5476.51:5476.51:5476.51 5481.77:5481.77:5481.77 +IOPATH A[0] O[20] 5351.23:5351.23:5351.23 5328.81:5328.81:5328.81 +IOPATH A[0] O[21] 5331.57:5331.57:5331.57 5308.41:5308.41:5308.41 +IOPATH A[0] O[22] 5390.88:5390.88:5390.88 5396.93:5396.93:5396.93 +IOPATH A[0] O[23] 5471.68:5471.68:5471.68 5466.5:5466.5:5466.5 +IOPATH A[0] O[24] 5368.09:5368.09:5368.09 5401.9:5401.9:5401.9 +IOPATH A[0] O[25] 5449.6:5449.6:5449.6 5502.33:5502.33:5502.33 +IOPATH A[0] O[26] 5534.12:5534.12:5534.12 5583.33:5583.33:5583.33 +IOPATH A[0] O[27] 5627.71:5627.71:5627.71 5673.84:5673.84:5673.84 +IOPATH A[0] O[28] 5572.98:5572.98:5572.98 5592.75:5592.75:5592.75 +IOPATH A[0] O[29] 5716.84:5716.84:5716.84 5735.24:5735.24:5735.24 +IOPATH A[0] O[30] 5874.75:5874.75:5874.75 5869.94:5869.94:5869.94 +IOPATH A[0] O[31] 6007.98:6007.98:6007.98 5976.11:5976.11:5976.11 +IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 +IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 +IOPATH A[1] O[1] 1657.24:1657.24:1657.24 1754.73:1754.73:1754.73 +IOPATH A[1] O[2] 2019.91:2019.91:2019.91 2086.89:2086.89:2086.89 +IOPATH A[1] O[3] 2199.93:2199.93:2199.93 2261.88:2261.88:2261.88 +IOPATH A[1] O[4] 2371.7:2371.7:2371.7 2408.82:2408.82:2408.82 +IOPATH A[1] O[5] 2633.2:2633.2:2633.2 2699.88:2699.88:2699.88 +IOPATH A[1] O[6] 2701.46:2701.46:2701.46 2782.42:2782.42:2782.42 +IOPATH A[1] O[7] 2797.87:2797.87:2797.87 2853.99:2853.99:2853.99 +IOPATH A[1] O[8] 3966.27:3966.27:3966.27 3953.71:3953.71:3953.71 +IOPATH A[1] O[9] 4143.58:4143.58:4143.58 4056.07:4056.07:4056.07 +IOPATH A[1] O[10] 4397.3:4397.3:4397.3 4346.26:4346.26:4346.26 +IOPATH A[1] O[11] 4595.67:4595.67:4595.67 4572.69:4572.69:4572.69 +IOPATH A[1] O[12] 4889.66:4889.66:4889.66 4846.73:4846.73:4846.73 +IOPATH A[1] O[13] 5046.19:5046.19:5046.19 4931.81:4931.81:4931.81 +IOPATH A[1] O[14] 5285.42:5285.42:5285.42 5214.76:5214.76:5214.76 +IOPATH A[1] O[15] 5149.67:5149.67:5149.67 5160.94:5160.94:5160.94 +IOPATH A[1] O[16] 5428.81:5428.81:5428.81 5353.25:5353.25:5353.25 +IOPATH A[1] O[17] 5377.32:5377.32:5377.32 5406.41:5406.41:5406.41 +IOPATH A[1] O[18] 5390.55:5390.55:5390.55 5415.92:5415.92:5415.92 +IOPATH A[1] O[19] 5646.11:5646.11:5646.11 5651.36:5651.36:5651.36 +IOPATH A[1] O[20] 5520.83:5520.83:5520.83 5498.41:5498.41:5498.41 +IOPATH A[1] O[21] 5501.17:5501.17:5501.17 5478.01:5478.01:5478.01 +IOPATH A[1] O[22] 5560.48:5560.48:5560.48 5566.53:5566.53:5566.53 +IOPATH A[1] O[23] 5641.28:5641.28:5641.28 5636.1:5636.1:5636.1 +IOPATH A[1] O[24] 5537.69:5537.69:5537.69 5571.5:5571.5:5571.5 +IOPATH A[1] O[25] 5619.2:5619.2:5619.2 5671.93:5671.93:5671.93 +IOPATH A[1] O[26] 5703.72:5703.72:5703.72 5752.93:5752.93:5752.93 +IOPATH A[1] O[27] 5797.31:5797.31:5797.31 5843.44:5843.44:5843.44 +IOPATH A[1] O[28] 5742.58:5742.58:5742.58 5762.35:5762.35:5762.35 +IOPATH A[1] O[29] 5886.44:5886.44:5886.44 5904.84:5904.84:5904.84 +IOPATH A[1] O[30] 6044.36:6044.36:6044.36 6039.54:6039.54:6039.54 +IOPATH A[1] O[31] 6177.58:6177.58:6177.58 6145.71:6145.71:6145.71 +IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 +IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 +IOPATH A[2] O[2] 2002.4:2002.4:2002.4 2069.37:2069.37:2069.37 +IOPATH A[2] O[3] 2182.41:2182.41:2182.41 2244.36:2244.36:2244.36 +IOPATH A[2] O[4] 2354.42:2354.42:2354.42 2391.3:2391.3:2391.3 +IOPATH A[2] O[5] 2615.92:2615.92:2615.92 2682.6:2682.6:2682.6 +IOPATH A[2] O[6] 2684.18:2684.18:2684.18 2765.14:2765.14:2765.14 +IOPATH A[2] O[7] 2780.59:2780.59:2780.59 2836.71:2836.71:2836.71 +IOPATH A[2] O[8] 3972.09:3972.09:3972.09 3959.53:3959.53:3959.53 +IOPATH A[2] O[9] 4149.4:4149.4:4149.4 4061.89:4061.89:4061.89 +IOPATH A[2] O[10] 4403.12:4403.12:4403.12 4352.08:4352.08:4352.08 +IOPATH A[2] O[11] 4601.5:4601.5:4601.5 4578.51:4578.51:4578.51 +IOPATH A[2] O[12] 4895.48:4895.48:4895.48 4852.55:4852.55:4852.55 +IOPATH A[2] O[13] 5052.01:5052.01:5052.01 4937.63:4937.63:4937.63 +IOPATH A[2] O[14] 5291.24:5291.24:5291.24 5220.58:5220.58:5220.58 +IOPATH A[2] O[15] 5155.49:5155.49:5155.49 5166.76:5166.76:5166.76 +IOPATH A[2] O[16] 5434.63:5434.63:5434.63 5359.07:5359.07:5359.07 +IOPATH A[2] O[17] 5383.14:5383.14:5383.14 5412.24:5412.24:5412.24 +IOPATH A[2] O[18] 5396.37:5396.37:5396.37 5421.75:5421.75:5421.75 +IOPATH A[2] O[19] 5651.93:5651.93:5651.93 5657.19:5657.19:5657.19 +IOPATH A[2] O[20] 5526.65:5526.65:5526.65 5504.23:5504.23:5504.23 +IOPATH A[2] O[21] 5506.99:5506.99:5506.99 5483.83:5483.83:5483.83 +IOPATH A[2] O[22] 5566.3:5566.3:5566.3 5572.35:5572.35:5572.35 +IOPATH A[2] O[23] 5647.1:5647.1:5647.1 5641.92:5641.92:5641.92 +IOPATH A[2] O[24] 5543.51:5543.51:5543.51 5577.32:5577.32:5577.32 +IOPATH A[2] O[25] 5625.02:5625.02:5625.02 5677.75:5677.75:5677.75 +IOPATH A[2] O[26] 5709.54:5709.54:5709.54 5758.76:5758.76:5758.76 +IOPATH A[2] O[27] 5803.13:5803.13:5803.13 5849.26:5849.26:5849.26 +IOPATH A[2] O[28] 5748.4:5748.4:5748.4 5768.17:5768.17:5768.17 +IOPATH A[2] O[29] 5892.26:5892.26:5892.26 5910.66:5910.66:5910.66 +IOPATH A[2] O[30] 6050.18:6050.18:6050.18 6045.36:6045.36:6045.36 +IOPATH A[2] O[31] 6183.4:6183.4:6183.4 6151.53:6151.53:6151.53 +IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 +IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 +IOPATH A[3] O[3] 1970.38:1970.38:1970.38 2006.5:2006.5:2006.5 +IOPATH A[3] O[4] 2381.31:2381.31:2381.31 2416.53:2416.53:2416.53 +IOPATH A[3] O[5] 2642.81:2642.81:2642.81 2709.49:2709.49:2709.49 +IOPATH A[3] O[6] 2711.07:2711.07:2711.07 2792.03:2792.03:2792.03 +IOPATH A[3] O[7] 2807.48:2807.48:2807.48 2863.6:2863.6:2863.6 +IOPATH A[3] O[8] 4029.52:4029.52:4029.52 4016.95:4016.95:4016.95 +IOPATH A[3] O[9] 4206.82:4206.82:4206.82 4119.32:4119.32:4119.32 +IOPATH A[3] O[10] 4460.54:4460.54:4460.54 4409.5:4409.5:4409.5 +IOPATH A[3] O[11] 4658.92:4658.92:4658.92 4635.93:4635.93:4635.93 +IOPATH A[3] O[12] 4952.91:4952.91:4952.91 4909.97:4909.97:4909.97 +IOPATH A[3] O[13] 5109.44:5109.44:5109.44 4995.05:4995.05:4995.05 +IOPATH A[3] O[14] 5348.66:5348.66:5348.66 5278:5278:5278 +IOPATH A[3] O[15] 5212.91:5212.91:5212.91 5224.18:5224.18:5224.18 +IOPATH A[3] O[16] 5492.05:5492.05:5492.05 5416.49:5416.49:5416.49 +IOPATH A[3] O[17] 5440.56:5440.56:5440.56 5469.66:5469.66:5469.66 +IOPATH A[3] O[18] 5453.79:5453.79:5453.79 5479.17:5479.17:5479.17 +IOPATH A[3] O[19] 5709.35:5709.35:5709.35 5714.61:5714.61:5714.61 +IOPATH A[3] O[20] 5584.07:5584.07:5584.07 5561.65:5561.65:5561.65 +IOPATH A[3] O[21] 5564.41:5564.41:5564.41 5541.25:5541.25:5541.25 +IOPATH A[3] O[22] 5623.73:5623.73:5623.73 5629.77:5629.77:5629.77 +IOPATH A[3] O[23] 5704.53:5704.53:5704.53 5699.34:5699.34:5699.34 +IOPATH A[3] O[24] 5600.94:5600.94:5600.94 5634.74:5634.74:5634.74 +IOPATH A[3] O[25] 5682.45:5682.45:5682.45 5735.18:5735.18:5735.18 +IOPATH A[3] O[26] 5766.96:5766.96:5766.96 5816.18:5816.18:5816.18 +IOPATH A[3] O[27] 5860.55:5860.55:5860.55 5906.68:5906.68:5906.68 +IOPATH A[3] O[28] 5805.82:5805.82:5805.82 5825.59:5825.59:5825.59 +IOPATH A[3] O[29] 5949.69:5949.69:5949.69 5968.08:5968.08:5968.08 +IOPATH A[3] O[30] 6107.6:6107.6:6107.6 6102.78:6102.78:6102.78 +IOPATH A[3] O[31] 6240.82:6240.82:6240.82 6208.95:6208.95:6208.95 +IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 +IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 +IOPATH A[4] O[4] 2416.7:2416.7:2416.7 2451.92:2451.92:2451.92 +IOPATH A[4] O[5] 2678.2:2678.2:2678.2 2744.88:2744.88:2744.88 +IOPATH A[4] O[6] 2746.46:2746.46:2746.46 2827.42:2827.42:2827.42 +IOPATH A[4] O[7] 2842.87:2842.87:2842.87 2898.99:2898.99:2898.99 +IOPATH A[4] O[8] 4199.31:4199.31:4199.31 4186.74:4186.74:4186.74 +IOPATH A[4] O[9] 4376.62:4376.62:4376.62 4289.11:4289.11:4289.11 +IOPATH A[4] O[10] 4630.34:4630.34:4630.34 4579.29:4579.29:4579.29 +IOPATH A[4] O[11] 4828.71:4828.71:4828.71 4805.72:4805.72:4805.72 +IOPATH A[4] O[12] 5122.7:5122.7:5122.7 5079.76:5079.76:5079.76 +IOPATH A[4] O[13] 5279.23:5279.23:5279.23 5164.84:5164.84:5164.84 +IOPATH A[4] O[14] 5518.45:5518.45:5518.45 5447.79:5447.79:5447.79 +IOPATH A[4] O[15] 5382.7:5382.7:5382.7 5393.98:5393.98:5393.98 +IOPATH A[4] O[16] 5661.84:5661.84:5661.84 5586.28:5586.28:5586.28 +IOPATH A[4] O[17] 5610.35:5610.35:5610.35 5639.45:5639.45:5639.45 +IOPATH A[4] O[18] 5623.58:5623.58:5623.58 5648.96:5648.96:5648.96 +IOPATH A[4] O[19] 5879.15:5879.15:5879.15 5884.4:5884.4:5884.4 +IOPATH A[4] O[20] 5753.86:5753.86:5753.86 5731.44:5731.44:5731.44 +IOPATH A[4] O[21] 5734.2:5734.2:5734.2 5711.05:5711.05:5711.05 +IOPATH A[4] O[22] 5793.52:5793.52:5793.52 5799.56:5799.56:5799.56 +IOPATH A[4] O[23] 5874.32:5874.32:5874.32 5869.13:5869.13:5869.13 +IOPATH A[4] O[24] 5770.73:5770.73:5770.73 5804.54:5804.54:5804.54 +IOPATH A[4] O[25] 5852.24:5852.24:5852.24 5904.97:5904.97:5904.97 +IOPATH A[4] O[26] 5936.75:5936.75:5936.75 5985.97:5985.97:5985.97 +IOPATH A[4] O[27] 6030.34:6030.34:6030.34 6076.47:6076.47:6076.47 +IOPATH A[4] O[28] 5975.62:5975.62:5975.62 5995.39:5995.39:5995.39 +IOPATH A[4] O[29] 6119.48:6119.48:6119.48 6137.88:6137.88:6137.88 +IOPATH A[4] O[30] 6277.39:6277.39:6277.39 6272.57:6272.57:6272.57 +IOPATH A[4] O[31] 6410.62:6410.62:6410.62 6378.75:6378.75:6378.75 +IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 +IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 +IOPATH A[5] O[5] 2580.88:2580.88:2580.88 2622.4:2622.4:2622.4 +IOPATH A[5] O[6] 2766.69:2766.69:2766.69 2856.4:2856.4:2856.4 +IOPATH A[5] O[7] 3014.08:3014.08:3014.08 3044.8:3044.8:3044.8 +IOPATH A[5] O[8] 4433.6:4433.6:4433.6 4421.03:4421.03:4421.03 +IOPATH A[5] O[9] 4610.91:4610.91:4610.91 4523.4:4523.4:4523.4 +IOPATH A[5] O[10] 4864.63:4864.63:4864.63 4813.59:4813.59:4813.59 +IOPATH A[5] O[11] 5063:5063:5063 5040.01:5040.01:5040.01 +IOPATH A[5] O[12] 5356.99:5356.99:5356.99 5314.06:5314.06:5314.06 +IOPATH A[5] O[13] 5513.52:5513.52:5513.52 5399.14:5399.14:5399.14 +IOPATH A[5] O[14] 5752.74:5752.74:5752.74 5682.08:5682.08:5682.08 +IOPATH A[5] O[15] 5617:5617:5617 5628.27:5628.27:5628.27 +IOPATH A[5] O[16] 5896.14:5896.14:5896.14 5820.58:5820.58:5820.58 +IOPATH A[5] O[17] 5844.64:5844.64:5844.64 5873.74:5873.74:5873.74 +IOPATH A[5] O[18] 5857.87:5857.87:5857.87 5883.25:5883.25:5883.25 +IOPATH A[5] O[19] 6113.44:6113.44:6113.44 6118.69:6118.69:6118.69 +IOPATH A[5] O[20] 5988.15:5988.15:5988.15 5965.74:5965.74:5965.74 +IOPATH A[5] O[21] 5968.49:5968.49:5968.49 5945.34:5945.34:5945.34 +IOPATH A[5] O[22] 6027.81:6027.81:6027.81 6033.86:6033.86:6033.86 +IOPATH A[5] O[23] 6108.61:6108.61:6108.61 6103.43:6103.43:6103.43 +IOPATH A[5] O[24] 6005.02:6005.02:6005.02 6038.83:6038.83:6038.83 +IOPATH A[5] O[25] 6086.53:6086.53:6086.53 6139.26:6139.26:6139.26 +IOPATH A[5] O[26] 6171.05:6171.05:6171.05 6220.26:6220.26:6220.26 +IOPATH A[5] O[27] 6264.64:6264.64:6264.64 6310.77:6310.77:6310.77 +IOPATH A[5] O[28] 6209.91:6209.91:6209.91 6229.68:6229.68:6229.68 +IOPATH A[5] O[29] 6353.77:6353.77:6353.77 6372.17:6372.17:6372.17 +IOPATH A[5] O[30] 6511.68:6511.68:6511.68 6506.87:6506.87:6506.87 +IOPATH A[5] O[31] 6644.91:6644.91:6644.91 6613.04:6613.04:6613.04 +IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 +IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 +IOPATH A[6] O[6] 2596.87:2596.87:2596.87 2686.57:2686.57:2686.57 +IOPATH A[6] O[7] 2782.25:2782.25:2782.25 2812.97:2812.97:2812.97 +IOPATH A[6] O[8] 4201.78:4201.78:4201.78 4189.21:4189.21:4189.21 +IOPATH A[6] O[9] 4379.08:4379.08:4379.08 4291.58:4291.58:4291.58 +IOPATH A[6] O[10] 4632.8:4632.8:4632.8 4581.76:4581.76:4581.76 +IOPATH A[6] O[11] 4831.18:4831.18:4831.18 4808.19:4808.19:4808.19 +IOPATH A[6] O[12] 5125.17:5125.17:5125.17 5082.23:5082.23:5082.23 +IOPATH A[6] O[13] 5281.7:5281.7:5281.7 5167.31:5167.31:5167.31 +IOPATH A[6] O[14] 5520.92:5520.92:5520.92 5450.26:5450.26:5450.26 +IOPATH A[6] O[15] 5385.17:5385.17:5385.17 5396.44:5396.44:5396.44 +IOPATH A[6] O[16] 5664.31:5664.31:5664.31 5588.75:5588.75:5588.75 +IOPATH A[6] O[17] 5612.82:5612.82:5612.82 5641.92:5641.92:5641.92 +IOPATH A[6] O[18] 5626.05:5626.05:5626.05 5651.43:5651.43:5651.43 +IOPATH A[6] O[19] 5881.61:5881.61:5881.61 5886.87:5886.87:5886.87 +IOPATH A[6] O[20] 5756.33:5756.33:5756.33 5733.91:5733.91:5733.91 +IOPATH A[6] O[21] 5736.67:5736.67:5736.67 5713.51:5713.51:5713.51 +IOPATH A[6] O[22] 5795.99:5795.99:5795.99 5802.03:5802.03:5802.03 +IOPATH A[6] O[23] 5876.79:5876.79:5876.79 5871.6:5871.6:5871.6 +IOPATH A[6] O[24] 5773.2:5773.2:5773.2 5807:5807:5807 +IOPATH A[6] O[25] 5854.7:5854.7:5854.7 5907.44:5907.44:5907.44 +IOPATH A[6] O[26] 5939.22:5939.22:5939.22 5988.44:5988.44:5988.44 +IOPATH A[6] O[27] 6032.81:6032.81:6032.81 6078.94:6078.94:6078.94 +IOPATH A[6] O[28] 5978.08:5978.08:5978.08 5997.85:5997.85:5997.85 +IOPATH A[6] O[29] 6121.95:6121.95:6121.95 6140.35:6140.35:6140.35 +IOPATH A[6] O[30] 6279.86:6279.86:6279.86 6275.04:6275.04:6275.04 +IOPATH A[6] O[31] 6413.09:6413.09:6413.09 6381.21:6381.21:6381.21 +IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 +IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 +IOPATH A[7] O[7] 2828.52:2828.52:2828.52 2859.24:2859.24:2859.24 +IOPATH A[7] O[8] 4248.05:4248.05:4248.05 4235.48:4235.48:4235.48 +IOPATH A[7] O[9] 4425.35:4425.35:4425.35 4337.85:4337.85:4337.85 +IOPATH A[7] O[10] 4679.07:4679.07:4679.07 4628.03:4628.03:4628.03 +IOPATH A[7] O[11] 4877.45:4877.45:4877.45 4854.46:4854.46:4854.46 +IOPATH A[7] O[12] 5171.44:5171.44:5171.44 5128.5:5128.5:5128.5 +IOPATH A[7] O[13] 5327.97:5327.97:5327.97 5213.58:5213.58:5213.58 +IOPATH A[7] O[14] 5567.19:5567.19:5567.19 5496.53:5496.53:5496.53 +IOPATH A[7] O[15] 5431.44:5431.44:5431.44 5442.71:5442.71:5442.71 +IOPATH A[7] O[16] 5710.58:5710.58:5710.58 5635.02:5635.02:5635.02 +IOPATH A[7] O[17] 5659.09:5659.09:5659.09 5688.19:5688.19:5688.19 +IOPATH A[7] O[18] 5672.32:5672.32:5672.32 5697.7:5697.7:5697.7 +IOPATH A[7] O[19] 5927.88:5927.88:5927.88 5933.14:5933.14:5933.14 +IOPATH A[7] O[20] 5802.6:5802.6:5802.6 5780.18:5780.18:5780.18 +IOPATH A[7] O[21] 5782.94:5782.94:5782.94 5759.78:5759.78:5759.78 +IOPATH A[7] O[22] 5842.26:5842.26:5842.26 5848.3:5848.3:5848.3 +IOPATH A[7] O[23] 5923.06:5923.06:5923.06 5917.87:5917.87:5917.87 +IOPATH A[7] O[24] 5819.47:5819.47:5819.47 5853.28:5853.28:5853.28 +IOPATH A[7] O[25] 5900.98:5900.98:5900.98 5953.71:5953.71:5953.71 +IOPATH A[7] O[26] 5985.49:5985.49:5985.49 6034.71:6034.71:6034.71 +IOPATH A[7] O[27] 6079.08:6079.08:6079.08 6125.21:6125.21:6125.21 +IOPATH A[7] O[28] 6024.35:6024.35:6024.35 6044.12:6044.12:6044.12 +IOPATH A[7] O[29] 6168.22:6168.22:6168.22 6186.62:6186.62:6186.62 +IOPATH A[7] O[30] 6326.13:6326.13:6326.13 6321.31:6321.31:6321.31 +IOPATH A[7] O[31] 6459.36:6459.36:6459.36 6427.48:6427.48:6427.48 +IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 +IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 +IOPATH A[8] O[8] 2181.52:2181.52:2181.52 2215.34:2215.34:2215.34 +IOPATH A[8] O[9] 2377.27:2377.27:2377.27 2316.55:2316.55:2316.55 +IOPATH A[8] O[10] 2663.39:2663.39:2663.39 2637.24:2637.24:2637.24 +IOPATH A[8] O[11] 2914.52:2914.52:2914.52 2893.91:2893.91:2893.91 +IOPATH A[8] O[12] 3233.33:3233.33:3233.33 3223.97:3223.97:3223.97 +IOPATH A[8] O[13] 3394.97:3394.97:3394.97 3309.05:3309.05:3309.05 +IOPATH A[8] O[14] 3637.35:3637.35:3637.35 3582.02:3582.02:3582.02 +IOPATH A[8] O[15] 3501.6:3501.6:3501.6 3518.35:3518.35:3518.35 +IOPATH A[8] O[16] 4088.57:4088.57:4088.57 3984.7:3984.7:3984.7 +IOPATH A[8] O[17] 4058.87:4058.87:4058.87 4087.96:4087.96:4087.96 +IOPATH A[8] O[18] 4096.3:4096.3:4096.3 4121.68:4121.68:4121.68 +IOPATH A[8] O[19] 4351.87:4351.87:4351.87 4357.12:4357.12:4357.12 +IOPATH A[8] O[20] 4639.93:4639.93:4639.93 4626.83:4626.83:4626.83 +IOPATH A[8] O[21] 4620.27:4620.27:4620.27 4597.11:4597.11:4597.11 +IOPATH A[8] O[22] 4679.58:4679.58:4679.58 4685.63:4685.63:4685.63 +IOPATH A[8] O[23] 4760.38:4760.38:4760.38 4755.2:4755.2:4755.2 +IOPATH A[8] O[24] 5017.18:5017.18:5017.18 5003.42:5003.42:5003.42 +IOPATH A[8] O[25] 5114.37:5114.37:5114.37 5116.67:5116.67:5116.67 +IOPATH A[8] O[26] 5212.48:5212.48:5212.48 5211.22:5211.22:5211.22 +IOPATH A[8] O[27] 5317.11:5317.11:5317.11 5313.03:5313.03:5313.03 +IOPATH A[8] O[28] 5334.01:5334.01:5334.01 5329.04:5329.04:5329.04 +IOPATH A[8] O[29] 5477.88:5477.88:5477.88 5484.89:5484.89:5484.89 +IOPATH A[8] O[30] 5635.79:5635.79:5635.79 5630.97:5630.97:5630.97 +IOPATH A[8] O[31] 5769.02:5769.02:5769.02 5737.14:5737.14:5737.14 +IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 +IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 +IOPATH A[9] O[9] 2347.44:2347.44:2347.44 2286.72:2286.72:2286.72 +IOPATH A[9] O[10] 2882.62:2882.62:2882.62 2856.46:2856.46:2856.46 +IOPATH A[9] O[11] 3133.74:3133.74:3133.74 3113.13:3113.13:3113.13 +IOPATH A[9] O[12] 3452.55:3452.55:3452.55 3443.19:3443.19:3443.19 +IOPATH A[9] O[13] 3595.63:3595.63:3595.63 3528.27:3528.27:3528.27 +IOPATH A[9] O[14] 3823:3823:3823 3801.24:3801.24:3801.24 +IOPATH A[9] O[15] 3687.25:3687.25:3687.25 3736.22:3736.22:3736.22 +IOPATH A[9] O[16] 4344.87:4344.87:4344.87 4241.01:4241.01:4241.01 +IOPATH A[9] O[17] 4315.17:4315.17:4315.17 4344.26:4344.26:4344.26 +IOPATH A[9] O[18] 4352.6:4352.6:4352.6 4377.99:4377.99:4377.99 +IOPATH A[9] O[19] 4608.17:4608.17:4608.17 4613.42:4613.42:4613.42 +IOPATH A[9] O[20] 4896.23:4896.23:4896.23 4883.13:4883.13:4883.13 +IOPATH A[9] O[21] 4876.57:4876.57:4876.57 4853.41:4853.41:4853.41 +IOPATH A[9] O[22] 4935.89:4935.89:4935.89 4941.93:4941.93:4941.93 +IOPATH A[9] O[23] 5016.68:5016.68:5016.68 5011.5:5011.5:5011.5 +IOPATH A[9] O[24] 5273.48:5273.48:5273.48 5259.72:5259.72:5259.72 +IOPATH A[9] O[25] 5370.67:5370.67:5370.67 5372.97:5372.97:5372.97 +IOPATH A[9] O[26] 5468.78:5468.78:5468.78 5467.52:5467.52:5467.52 +IOPATH A[9] O[27] 5573.41:5573.41:5573.41 5569.34:5569.34:5569.34 +IOPATH A[9] O[28] 5590.31:5590.31:5590.31 5585.34:5585.34:5585.34 +IOPATH A[9] O[29] 5734.18:5734.18:5734.18 5741.19:5741.19:5741.19 +IOPATH A[9] O[30] 5892.09:5892.09:5892.09 5887.27:5887.27:5887.27 +IOPATH A[9] O[31] 6025.32:6025.32:6025.32 5993.44:5993.44:5993.44 +IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 +IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 +IOPATH A[10] O[10] 2783.46:2783.46:2783.46 2757.31:2757.31:2757.31 +IOPATH A[10] O[11] 3034.59:3034.59:3034.59 3013.97:3013.97:3013.97 +IOPATH A[10] O[12] 3353.39:3353.39:3353.39 3344.04:3344.04:3344.04 +IOPATH A[10] O[13] 3496.48:3496.48:3496.48 3429.12:3429.12:3429.12 +IOPATH A[10] O[14] 3722.22:3722.22:3722.22 3702.08:3702.08:3702.08 +IOPATH A[10] O[15] 3575.27:3575.27:3575.27 3637.07:3637.07:3637.07 +IOPATH A[10] O[16] 4278.17:4278.17:4278.17 4174.31:4174.31:4174.31 +IOPATH A[10] O[17] 4248.47:4248.47:4248.47 4277.56:4277.56:4277.56 +IOPATH A[10] O[18] 4285.9:4285.9:4285.9 4311.29:4311.29:4311.29 +IOPATH A[10] O[19] 4541.47:4541.47:4541.47 4546.72:4546.72:4546.72 +IOPATH A[10] O[20] 4829.53:4829.53:4829.53 4816.43:4816.43:4816.43 +IOPATH A[10] O[21] 4809.87:4809.87:4809.87 4786.71:4786.71:4786.71 +IOPATH A[10] O[22] 4869.19:4869.19:4869.19 4875.23:4875.23:4875.23 +IOPATH A[10] O[23] 4949.99:4949.99:4949.99 4944.8:4944.8:4944.8 +IOPATH A[10] O[24] 5206.78:5206.78:5206.78 5193.02:5193.02:5193.02 +IOPATH A[10] O[25] 5303.97:5303.97:5303.97 5306.27:5306.27:5306.27 +IOPATH A[10] O[26] 5402.08:5402.08:5402.08 5400.82:5400.82:5400.82 +IOPATH A[10] O[27] 5506.71:5506.71:5506.71 5502.64:5502.64:5502.64 +IOPATH A[10] O[28] 5523.61:5523.61:5523.61 5518.65:5518.65:5518.65 +IOPATH A[10] O[29] 5667.48:5667.48:5667.48 5674.49:5674.49:5674.49 +IOPATH A[10] O[30] 5825.39:5825.39:5825.39 5820.57:5820.57:5820.57 +IOPATH A[10] O[31] 5958.62:5958.62:5958.62 5926.74:5926.74:5926.74 +IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 +IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 +IOPATH A[11] O[11] 2810.63:2810.63:2810.63 2790.01:2790.01:2790.01 +IOPATH A[11] O[12] 3165.32:3165.32:3165.32 3120.07:3120.07:3120.07 +IOPATH A[11] O[13] 3393.61:3393.61:3393.61 3284.97:3284.97:3284.97 +IOPATH A[11] O[14] 3657.25:3657.25:3657.25 3594.18:3594.18:3594.18 +IOPATH A[11] O[15] 3521.5:3521.5:3521.5 3538.24:3538.24:3538.24 +IOPATH A[11] O[16] 4262.01:4262.01:4262.01 4158.14:4158.14:4158.14 +IOPATH A[11] O[17] 4232.31:4232.31:4232.31 4261.4:4261.4:4261.4 +IOPATH A[11] O[18] 4269.74:4269.74:4269.74 4295.12:4295.12:4295.12 +IOPATH A[11] O[19] 4525.31:4525.31:4525.31 4530.56:4530.56:4530.56 +IOPATH A[11] O[20] 4813.37:4813.37:4813.37 4800.27:4800.27:4800.27 +IOPATH A[11] O[21] 4793.71:4793.71:4793.71 4770.55:4770.55:4770.55 +IOPATH A[11] O[22] 4853.02:4853.02:4853.02 4859.07:4859.07:4859.07 +IOPATH A[11] O[23] 4933.82:4933.82:4933.82 4928.64:4928.64:4928.64 +IOPATH A[11] O[24] 5190.62:5190.62:5190.62 5176.86:5176.86:5176.86 +IOPATH A[11] O[25] 5287.81:5287.81:5287.81 5290.11:5290.11:5290.11 +IOPATH A[11] O[26] 5385.92:5385.92:5385.92 5384.66:5384.66:5384.66 +IOPATH A[11] O[27] 5490.55:5490.55:5490.55 5486.47:5486.47:5486.47 +IOPATH A[11] O[28] 5507.45:5507.45:5507.45 5502.48:5502.48:5502.48 +IOPATH A[11] O[29] 5651.32:5651.32:5651.32 5658.33:5658.33:5658.33 +IOPATH A[11] O[30] 5809.23:5809.23:5809.23 5804.41:5804.41:5804.41 +IOPATH A[11] O[31] 5942.46:5942.46:5942.46 5910.58:5910.58:5910.58 +IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 +IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 +IOPATH A[12] O[12] 3271.17:3271.17:3271.17 3221.99:3221.99:3221.99 +IOPATH A[12] O[13] 3537.54:3537.54:3537.54 3428.9:3428.9:3428.9 +IOPATH A[12] O[14] 3801.18:3801.18:3801.18 3738.11:3738.11:3738.11 +IOPATH A[12] O[15] 3665.43:3665.43:3665.43 3682.17:3682.17:3682.17 +IOPATH A[12] O[16] 4479.32:4479.32:4479.32 4375.46:4375.46:4375.46 +IOPATH A[12] O[17] 4449.62:4449.62:4449.62 4478.71:4478.71:4478.71 +IOPATH A[12] O[18] 4487.05:4487.05:4487.05 4512.44:4512.44:4512.44 +IOPATH A[12] O[19] 4742.62:4742.62:4742.62 4747.87:4747.87:4747.87 +IOPATH A[12] O[20] 5030.68:5030.68:5030.68 5017.59:5017.59:5017.59 +IOPATH A[12] O[21] 5011.02:5011.02:5011.02 4987.86:4987.86:4987.86 +IOPATH A[12] O[22] 5070.34:5070.34:5070.34 5076.38:5076.38:5076.38 +IOPATH A[12] O[23] 5151.14:5151.14:5151.14 5145.95:5145.95:5145.95 +IOPATH A[12] O[24] 5407.93:5407.93:5407.93 5394.17:5394.17:5394.17 +IOPATH A[12] O[25] 5505.12:5505.12:5505.12 5507.42:5507.42:5507.42 +IOPATH A[12] O[26] 5603.24:5603.24:5603.24 5601.98:5601.98:5601.98 +IOPATH A[12] O[27] 5707.87:5707.87:5707.87 5703.79:5703.79:5703.79 +IOPATH A[12] O[28] 5724.77:5724.77:5724.77 5719.8:5719.8:5719.8 +IOPATH A[12] O[29] 5868.63:5868.63:5868.63 5875.64:5875.64:5875.64 +IOPATH A[12] O[30] 6026.54:6026.54:6026.54 6021.72:6021.72:6021.72 +IOPATH A[12] O[31] 6159.77:6159.77:6159.77 6127.89:6127.89:6127.89 +IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 +IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 +IOPATH A[13] O[13] 3177.9:3177.9:3177.9 3069.26:3069.26:3069.26 +IOPATH A[13] O[14] 3533.89:3533.89:3533.89 3476.13:3476.13:3476.13 +IOPATH A[13] O[15] 3495.17:3495.17:3495.17 3509.3:3509.3:3509.3 +IOPATH A[13] O[16] 4511.7:4511.7:4511.7 4407.84:4407.84:4407.84 +IOPATH A[13] O[17] 4482:4482:4482 4511.09:4511.09:4511.09 +IOPATH A[13] O[18] 4519.43:4519.43:4519.43 4544.82:4544.82:4544.82 +IOPATH A[13] O[19] 4775:4775:4775 4780.25:4780.25:4780.25 +IOPATH A[13] O[20] 5063.06:5063.06:5063.06 5049.97:5049.97:5049.97 +IOPATH A[13] O[21] 5043.4:5043.4:5043.4 5020.24:5020.24:5020.24 +IOPATH A[13] O[22] 5102.72:5102.72:5102.72 5108.76:5108.76:5108.76 +IOPATH A[13] O[23] 5183.52:5183.52:5183.52 5178.33:5178.33:5178.33 +IOPATH A[13] O[24] 5440.31:5440.31:5440.31 5426.55:5426.55:5426.55 +IOPATH A[13] O[25] 5537.5:5537.5:5537.5 5539.8:5539.8:5539.8 +IOPATH A[13] O[26] 5635.62:5635.62:5635.62 5634.36:5634.36:5634.36 +IOPATH A[13] O[27] 5740.25:5740.25:5740.25 5736.17:5736.17:5736.17 +IOPATH A[13] O[28] 5757.15:5757.15:5757.15 5752.18:5752.18:5752.18 +IOPATH A[13] O[29] 5901.01:5901.01:5901.01 5908.02:5908.02:5908.02 +IOPATH A[13] O[30] 6058.92:6058.92:6058.92 6054.1:6054.1:6054.1 +IOPATH A[13] O[31] 6192.15:6192.15:6192.15 6160.27:6160.27:6160.27 +IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 +IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 +IOPATH A[14] O[14] 3583.96:3583.96:3583.96 3526.21:3526.21:3526.21 +IOPATH A[14] O[15] 3527.17:3527.17:3527.17 3541.3:3541.3:3541.3 +IOPATH A[14] O[16] 4543.69:4543.69:4543.69 4439.83:4439.83:4439.83 +IOPATH A[14] O[17] 4513.99:4513.99:4513.99 4543.09:4543.09:4543.09 +IOPATH A[14] O[18] 4551.43:4551.43:4551.43 4576.81:4576.81:4576.81 +IOPATH A[14] O[19] 4806.99:4806.99:4806.99 4812.25:4812.25:4812.25 +IOPATH A[14] O[20] 5095.05:5095.05:5095.05 5081.96:5081.96:5081.96 +IOPATH A[14] O[21] 5075.39:5075.39:5075.39 5052.24:5052.24:5052.24 +IOPATH A[14] O[22] 5134.71:5134.71:5134.71 5140.75:5140.75:5140.75 +IOPATH A[14] O[23] 5215.51:5215.51:5215.51 5210.33:5210.33:5210.33 +IOPATH A[14] O[24] 5472.31:5472.31:5472.31 5458.55:5458.55:5458.55 +IOPATH A[14] O[25] 5569.49:5569.49:5569.49 5571.8:5571.8:5571.8 +IOPATH A[14] O[26] 5667.61:5667.61:5667.61 5666.35:5666.35:5666.35 +IOPATH A[14] O[27] 5772.24:5772.24:5772.24 5768.16:5768.16:5768.16 +IOPATH A[14] O[28] 5789.14:5789.14:5789.14 5784.17:5784.17:5784.17 +IOPATH A[14] O[29] 5933:5933:5933 5940.01:5940.01:5940.01 +IOPATH A[14] O[30] 6090.91:6090.91:6090.91 6086.1:6086.1:6086.1 +IOPATH A[14] O[31] 6224.14:6224.14:6224.14 6192.27:6192.27:6192.27 +IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 +IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 +IOPATH A[15] O[15] 4006.96:4006.96:4006.96 4021.09:4021.09:4021.09 +IOPATH A[15] O[16] 5023.49:5023.49:5023.49 4919.63:4919.63:4919.63 +IOPATH A[15] O[17] 4993.79:4993.79:4993.79 5022.88:5022.88:5022.88 +IOPATH A[15] O[18] 5031.22:5031.22:5031.22 5056.61:5056.61:5056.61 +IOPATH A[15] O[19] 5286.79:5286.79:5286.79 5292.04:5292.04:5292.04 +IOPATH A[15] O[20] 5574.85:5574.85:5574.85 5561.76:5561.76:5561.76 +IOPATH A[15] O[21] 5555.19:5555.19:5555.19 5532.03:5532.03:5532.03 +IOPATH A[15] O[22] 5614.51:5614.51:5614.51 5620.55:5620.55:5620.55 +IOPATH A[15] O[23] 5695.3:5695.3:5695.3 5690.12:5690.12:5690.12 +IOPATH A[15] O[24] 5952.1:5952.1:5952.1 5938.34:5938.34:5938.34 +IOPATH A[15] O[25] 6049.29:6049.29:6049.29 6051.59:6051.59:6051.59 +IOPATH A[15] O[26] 6147.41:6147.41:6147.41 6146.15:6146.15:6146.15 +IOPATH A[15] O[27] 6252.04:6252.04:6252.04 6247.96:6247.96:6247.96 +IOPATH A[15] O[28] 6268.94:6268.94:6268.94 6263.97:6263.97:6263.97 +IOPATH A[15] O[29] 6412.8:6412.8:6412.8 6419.81:6419.81:6419.81 +IOPATH A[15] O[30] 6570.71:6570.71:6570.71 6565.89:6565.89:6565.89 +IOPATH A[15] O[31] 6703.94:6703.94:6703.94 6672.06:6672.06:6672.06 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] O[0] 1682.06:1682.06:1682.06 1814.12:1814.12:1814.12 +IOPATH B[0] O[1] 1821.76:1821.76:1821.76 1932.21:1932.21:1932.21 +IOPATH B[0] O[2] 2156.84:2156.84:2156.84 2223.82:2223.82:2223.82 +IOPATH B[0] O[3] 2336.88:2336.88:2336.88 2398.82:2398.82:2398.82 +IOPATH B[0] O[4] 2579.98:2579.98:2579.98 2615.21:2615.21:2615.21 +IOPATH B[0] O[5] 2841.48:2841.48:2841.48 2908.17:2908.17:2908.17 +IOPATH B[0] O[6] 2909.74:2909.74:2909.74 2990.7:2990.7:2990.7 +IOPATH B[0] O[7] 3006.16:3006.16:3006.16 3062.28:3062.28:3062.28 +IOPATH B[0] O[8] 4381.87:4381.87:4381.87 4369.3:4369.3:4369.3 +IOPATH B[0] O[9] 4559.18:4559.18:4559.18 4471.67:4471.67:4471.67 +IOPATH B[0] O[10] 4812.9:4812.9:4812.9 4761.85:4761.85:4761.85 +IOPATH B[0] O[11] 5011.27:5011.27:5011.27 4988.28:4988.28:4988.28 +IOPATH B[0] O[12] 5305.26:5305.26:5305.26 5262.32:5262.32:5262.32 +IOPATH B[0] O[13] 5461.79:5461.79:5461.79 5347.4:5347.4:5347.4 +IOPATH B[0] O[14] 5701.01:5701.01:5701.01 5630.35:5630.35:5630.35 +IOPATH B[0] O[15] 5565.26:5565.26:5565.26 5576.54:5576.54:5576.54 +IOPATH B[0] O[16] 5844.41:5844.41:5844.41 5768.84:5768.84:5768.84 +IOPATH B[0] O[17] 5792.91:5792.91:5792.91 5822.01:5822.01:5822.01 +IOPATH B[0] O[18] 5806.14:5806.14:5806.14 5831.52:5831.52:5831.52 +IOPATH B[0] O[19] 6061.71:6061.71:6061.71 6066.96:6066.96:6066.96 +IOPATH B[0] O[20] 5936.43:5936.43:5936.43 5914.01:5914.01:5914.01 +IOPATH B[0] O[21] 5916.76:5916.76:5916.76 5893.61:5893.61:5893.61 +IOPATH B[0] O[22] 5976.08:5976.08:5976.08 5982.13:5982.13:5982.13 +IOPATH B[0] O[23] 6056.88:6056.88:6056.88 6051.7:6051.7:6051.7 +IOPATH B[0] O[24] 5953.29:5953.29:5953.29 5987.1:5987.1:5987.1 +IOPATH B[0] O[25] 6034.8:6034.8:6034.8 6087.53:6087.53:6087.53 +IOPATH B[0] O[26] 6119.31:6119.31:6119.31 6168.53:6168.53:6168.53 +IOPATH B[0] O[27] 6212.91:6212.91:6212.91 6259.03:6259.03:6259.03 +IOPATH B[0] O[28] 6158.18:6158.18:6158.18 6177.95:6177.95:6177.95 +IOPATH B[0] O[29] 6302.04:6302.04:6302.04 6320.44:6320.44:6320.44 +IOPATH B[0] O[30] 6459.95:6459.95:6459.95 6455.14:6455.14:6455.14 +IOPATH B[0] O[31] 6593.18:6593.18:6593.18 6561.31:6561.31:6561.31 +IOPATH B[1] O[0] 1774.62:1774.62:1774.62 1865.9:1865.9:1865.9 +IOPATH B[1] O[1] 1914.39:1914.39:1914.39 2009.73:2009.73:2009.73 +IOPATH B[1] O[2] 2421.78:2421.78:2421.78 2488.78:2488.78:2488.78 +IOPATH B[1] O[3] 2601.82:2601.82:2601.82 2663.77:2663.77:2663.77 +IOPATH B[1] O[4] 2868.23:2868.23:2868.23 2903.46:2903.46:2903.46 +IOPATH B[1] O[5] 3129.73:3129.73:3129.73 3196.42:3196.42:3196.42 +IOPATH B[1] O[6] 3197.99:3197.99:3197.99 3278.95:3278.95:3278.95 +IOPATH B[1] O[7] 3294.41:3294.41:3294.41 3350.53:3350.53:3350.53 +IOPATH B[1] O[8] 4621.52:4621.52:4621.52 4608.96:4608.96:4608.96 +IOPATH B[1] O[9] 4798.83:4798.83:4798.83 4711.33:4711.33:4711.33 +IOPATH B[1] O[10] 5052.55:5052.55:5052.55 5001.51:5001.51:5001.51 +IOPATH B[1] O[11] 5250.92:5250.92:5250.92 5227.94:5227.94:5227.94 +IOPATH B[1] O[12] 5544.91:5544.91:5544.91 5501.98:5501.98:5501.98 +IOPATH B[1] O[13] 5701.44:5701.44:5701.44 5587.06:5587.06:5587.06 +IOPATH B[1] O[14] 5940.67:5940.67:5940.67 5870.01:5870.01:5870.01 +IOPATH B[1] O[15] 5804.92:5804.92:5804.92 5816.19:5816.19:5816.19 +IOPATH B[1] O[16] 6084.06:6084.06:6084.06 6008.5:6008.5:6008.5 +IOPATH B[1] O[17] 6032.57:6032.57:6032.57 6061.67:6061.67:6061.67 +IOPATH B[1] O[18] 6045.8:6045.8:6045.8 6071.18:6071.18:6071.18 +IOPATH B[1] O[19] 6301.36:6301.36:6301.36 6306.62:6306.62:6306.62 +IOPATH B[1] O[20] 6176.08:6176.08:6176.08 6153.66:6153.66:6153.66 +IOPATH B[1] O[21] 6156.42:6156.42:6156.42 6133.26:6133.26:6133.26 +IOPATH B[1] O[22] 6215.74:6215.74:6215.74 6221.78:6221.78:6221.78 +IOPATH B[1] O[23] 6296.53:6296.53:6296.53 6291.35:6291.35:6291.35 +IOPATH B[1] O[24] 6192.95:6192.95:6192.95 6226.75:6226.75:6226.75 +IOPATH B[1] O[25] 6274.45:6274.45:6274.45 6327.18:6327.18:6327.18 +IOPATH B[1] O[26] 6358.97:6358.97:6358.97 6408.19:6408.19:6408.19 +IOPATH B[1] O[27] 6452.56:6452.56:6452.56 6498.69:6498.69:6498.69 +IOPATH B[1] O[28] 6397.83:6397.83:6397.83 6417.6:6417.6:6417.6 +IOPATH B[1] O[29] 6541.7:6541.7:6541.7 6560.09:6560.09:6560.09 +IOPATH B[1] O[30] 6699.61:6699.61:6699.61 6694.79:6694.79:6694.79 +IOPATH B[1] O[31] 6832.83:6832.83:6832.83 6800.96:6800.96:6800.96 +IOPATH B[2] O[2] 2213.84:2213.84:2213.84 2280.83:2280.83:2280.83 +IOPATH B[2] O[3] 2393.86:2393.86:2393.86 2455.8:2455.8:2455.8 +IOPATH B[2] O[4] 2666.85:2666.85:2666.85 2702.08:2702.08:2702.08 +IOPATH B[2] O[5] 2928.36:2928.36:2928.36 2995.04:2995.04:2995.04 +IOPATH B[2] O[6] 2996.62:2996.62:2996.62 3077.57:3077.57:3077.57 +IOPATH B[2] O[7] 3093.03:3093.03:3093.03 3149.15:3149.15:3149.15 +IOPATH B[2] O[8] 4512.85:4512.85:4512.85 4500.29:4500.29:4500.29 +IOPATH B[2] O[9] 4690.16:4690.16:4690.16 4602.66:4602.66:4602.66 +IOPATH B[2] O[10] 4943.88:4943.88:4943.88 4892.84:4892.84:4892.84 +IOPATH B[2] O[11] 5142.26:5142.26:5142.26 5119.27:5119.27:5119.27 +IOPATH B[2] O[12] 5436.24:5436.24:5436.24 5393.31:5393.31:5393.31 +IOPATH B[2] O[13] 5592.77:5592.77:5592.77 5478.39:5478.39:5478.39 +IOPATH B[2] O[14] 5832:5832:5832 5761.34:5761.34:5761.34 +IOPATH B[2] O[15] 5696.25:5696.25:5696.25 5707.52:5707.52:5707.52 +IOPATH B[2] O[16] 5975.39:5975.39:5975.39 5899.83:5899.83:5899.83 +IOPATH B[2] O[17] 5923.9:5923.9:5923.9 5953:5953:5953 +IOPATH B[2] O[18] 5937.13:5937.13:5937.13 5962.51:5962.51:5962.51 +IOPATH B[2] O[19] 6192.69:6192.69:6192.69 6197.95:6197.95:6197.95 +IOPATH B[2] O[20] 6067.41:6067.41:6067.41 6044.99:6044.99:6044.99 +IOPATH B[2] O[21] 6047.75:6047.75:6047.75 6024.59:6024.59:6024.59 +IOPATH B[2] O[22] 6107.06:6107.06:6107.06 6113.11:6113.11:6113.11 +IOPATH B[2] O[23] 6187.86:6187.86:6187.86 6182.68:6182.68:6182.68 +IOPATH B[2] O[24] 6084.27:6084.27:6084.27 6118.08:6118.08:6118.08 +IOPATH B[2] O[25] 6165.78:6165.78:6165.78 6218.51:6218.51:6218.51 +IOPATH B[2] O[26] 6250.3:6250.3:6250.3 6299.52:6299.52:6299.52 +IOPATH B[2] O[27] 6343.89:6343.89:6343.89 6390.02:6390.02:6390.02 +IOPATH B[2] O[28] 6289.16:6289.16:6289.16 6308.93:6308.93:6308.93 +IOPATH B[2] O[29] 6433.02:6433.02:6433.02 6451.42:6451.42:6451.42 +IOPATH B[2] O[30] 6590.94:6590.94:6590.94 6586.12:6586.12:6586.12 +IOPATH B[2] O[31] 6724.16:6724.16:6724.16 6692.29:6692.29:6692.29 +IOPATH B[3] O[2] 1999.72:1999.72:1999.72 2066.71:2066.71:2066.71 +IOPATH B[3] O[3] 2332.38:2332.38:2332.38 2368.52:2368.52:2368.52 +IOPATH B[3] O[4] 2739.29:2739.29:2739.29 2774.51:2774.51:2774.51 +IOPATH B[3] O[5] 3000.79:3000.79:3000.79 3067.47:3067.47:3067.47 +IOPATH B[3] O[6] 3069.05:3069.05:3069.05 3150.01:3150.01:3150.01 +IOPATH B[3] O[7] 3165.46:3165.46:3165.46 3221.58:3221.58:3221.58 +IOPATH B[3] O[8] 4585.29:4585.29:4585.29 4572.72:4572.72:4572.72 +IOPATH B[3] O[9] 4762.6:4762.6:4762.6 4675.09:4675.09:4675.09 +IOPATH B[3] O[10] 5016.32:5016.32:5016.32 4965.27:4965.27:4965.27 +IOPATH B[3] O[11] 5214.69:5214.69:5214.69 5191.7:5191.7:5191.7 +IOPATH B[3] O[12] 5508.68:5508.68:5508.68 5465.74:5465.74:5465.74 +IOPATH B[3] O[13] 5665.21:5665.21:5665.21 5550.82:5550.82:5550.82 +IOPATH B[3] O[14] 5904.43:5904.43:5904.43 5833.77:5833.77:5833.77 +IOPATH B[3] O[15] 5768.68:5768.68:5768.68 5779.96:5779.96:5779.96 +IOPATH B[3] O[16] 6047.82:6047.82:6047.82 5972.26:5972.26:5972.26 +IOPATH B[3] O[17] 5996.33:5996.33:5996.33 6025.43:6025.43:6025.43 +IOPATH B[3] O[18] 6009.56:6009.56:6009.56 6034.94:6034.94:6034.94 +IOPATH B[3] O[19] 6265.13:6265.13:6265.13 6270.38:6270.38:6270.38 +IOPATH B[3] O[20] 6139.84:6139.84:6139.84 6117.42:6117.42:6117.42 +IOPATH B[3] O[21] 6120.18:6120.18:6120.18 6097.03:6097.03:6097.03 +IOPATH B[3] O[22] 6179.5:6179.5:6179.5 6185.54:6185.54:6185.54 +IOPATH B[3] O[23] 6260.3:6260.3:6260.3 6255.11:6255.11:6255.11 +IOPATH B[3] O[24] 6156.71:6156.71:6156.71 6190.52:6190.52:6190.52 +IOPATH B[3] O[25] 6238.22:6238.22:6238.22 6290.95:6290.95:6290.95 +IOPATH B[3] O[26] 6322.73:6322.73:6322.73 6371.95:6371.95:6371.95 +IOPATH B[3] O[27] 6416.32:6416.32:6416.32 6462.45:6462.45:6462.45 +IOPATH B[3] O[28] 6388.59:6388.59:6388.59 6383.62:6383.62:6383.62 +IOPATH B[3] O[29] 6532.45:6532.45:6532.45 6539.46:6539.46:6539.46 +IOPATH B[3] O[30] 6690.36:6690.36:6690.36 6685.55:6685.55:6685.55 +IOPATH B[3] O[31] 6823.59:6823.59:6823.59 6791.72:6791.72:6791.72 +IOPATH B[4] O[4] 2410.2:2410.2:2410.2 2445.42:2445.42:2445.42 +IOPATH B[4] O[5] 2671.7:2671.7:2671.7 2738.38:2738.38:2738.38 +IOPATH B[4] O[6] 2739.96:2739.96:2739.96 2823.66:2823.66:2823.66 +IOPATH B[4] O[7] 2939:2939:2939 2969.72:2969.72:2969.72 +IOPATH B[4] O[8] 4358.52:4358.52:4358.52 4345.95:4345.95:4345.95 +IOPATH B[4] O[9] 4535.83:4535.83:4535.83 4448.32:4448.32:4448.32 +IOPATH B[4] O[10] 4789.55:4789.55:4789.55 4738.51:4738.51:4738.51 +IOPATH B[4] O[11] 4987.92:4987.92:4987.92 4964.93:4964.93:4964.93 +IOPATH B[4] O[12] 5281.91:5281.91:5281.91 5238.97:5238.97:5238.97 +IOPATH B[4] O[13] 5438.44:5438.44:5438.44 5324.06:5324.06:5324.06 +IOPATH B[4] O[14] 5677.67:5677.67:5677.67 5607:5607:5607 +IOPATH B[4] O[15] 5541.91:5541.91:5541.91 5553.19:5553.19:5553.19 +IOPATH B[4] O[16] 5821.06:5821.06:5821.06 5745.5:5745.5:5745.5 +IOPATH B[4] O[17] 5769.57:5769.57:5769.57 5798.66:5798.66:5798.66 +IOPATH B[4] O[18] 5782.79:5782.79:5782.79 5808.17:5808.17:5808.17 +IOPATH B[4] O[19] 6038.36:6038.36:6038.36 6043.61:6043.61:6043.61 +IOPATH B[4] O[20] 5913.08:5913.08:5913.08 5890.66:5890.66:5890.66 +IOPATH B[4] O[21] 5893.41:5893.41:5893.41 5870.26:5870.26:5870.26 +IOPATH B[4] O[22] 5952.73:5952.73:5952.73 5958.78:5958.78:5958.78 +IOPATH B[4] O[23] 6033.53:6033.53:6033.53 6028.35:6028.35:6028.35 +IOPATH B[4] O[24] 5929.94:5929.94:5929.94 5963.75:5963.75:5963.75 +IOPATH B[4] O[25] 6011.45:6011.45:6011.45 6064.18:6064.18:6064.18 +IOPATH B[4] O[26] 6095.97:6095.97:6095.97 6145.18:6145.18:6145.18 +IOPATH B[4] O[27] 6189.56:6189.56:6189.56 6235.69:6235.69:6235.69 +IOPATH B[4] O[28] 6134.83:6134.83:6134.83 6154.6:6154.6:6154.6 +IOPATH B[4] O[29] 6278.69:6278.69:6278.69 6297.09:6297.09:6297.09 +IOPATH B[4] O[30] 6436.6:6436.6:6436.6 6431.79:6431.79:6431.79 +IOPATH B[4] O[31] 6569.83:6569.83:6569.83 6537.96:6537.96:6537.96 +IOPATH B[5] O[4] 2382.45:2382.45:2382.45 2417.67:2417.67:2417.67 +IOPATH B[5] O[5] 2643.95:2643.95:2643.95 2710.63:2710.63:2710.63 +IOPATH B[5] O[6] 2829.29:2829.29:2829.29 2919:2919:2919 +IOPATH B[5] O[7] 3033.53:3033.53:3033.53 3064.25:3064.25:3064.25 +IOPATH B[5] O[8] 4453.05:4453.05:4453.05 4440.49:4440.49:4440.49 +IOPATH B[5] O[9] 4630.36:4630.36:4630.36 4542.85:4542.85:4542.85 +IOPATH B[5] O[10] 4884.08:4884.08:4884.08 4833.04:4833.04:4833.04 +IOPATH B[5] O[11] 5082.45:5082.45:5082.45 5059.46:5059.46:5059.46 +IOPATH B[5] O[12] 5376.44:5376.44:5376.44 5333.51:5333.51:5333.51 +IOPATH B[5] O[13] 5532.97:5532.97:5532.97 5418.59:5418.59:5418.59 +IOPATH B[5] O[14] 5772.19:5772.19:5772.19 5701.53:5701.53:5701.53 +IOPATH B[5] O[15] 5636.45:5636.45:5636.45 5647.72:5647.72:5647.72 +IOPATH B[5] O[16] 6014.26:6014.26:6014.26 5938.7:5938.7:5938.7 +IOPATH B[5] O[17] 5962.77:5962.77:5962.77 5991.86:5991.86:5991.86 +IOPATH B[5] O[18] 5975.99:5975.99:5975.99 6001.37:6001.37:6001.37 +IOPATH B[5] O[19] 6231.56:6231.56:6231.56 6236.82:6236.82:6236.82 +IOPATH B[5] O[20] 6106.28:6106.28:6106.28 6083.86:6083.86:6083.86 +IOPATH B[5] O[21] 6086.62:6086.62:6086.62 6063.46:6063.46:6063.46 +IOPATH B[5] O[22] 6145.93:6145.93:6145.93 6151.98:6151.98:6151.98 +IOPATH B[5] O[23] 6226.73:6226.73:6226.73 6221.55:6221.55:6221.55 +IOPATH B[5] O[24] 6123.14:6123.14:6123.14 6156.95:6156.95:6156.95 +IOPATH B[5] O[25] 6204.65:6204.65:6204.65 6257.38:6257.38:6257.38 +IOPATH B[5] O[26] 6289.17:6289.17:6289.17 6338.39:6338.39:6338.39 +IOPATH B[5] O[27] 6382.76:6382.76:6382.76 6428.89:6428.89:6428.89 +IOPATH B[5] O[28] 6328.03:6328.03:6328.03 6347.8:6347.8:6347.8 +IOPATH B[5] O[29] 6471.89:6471.89:6471.89 6490.29:6490.29:6490.29 +IOPATH B[5] O[30] 6629.8:6629.8:6629.8 6624.99:6624.99:6624.99 +IOPATH B[5] O[31] 6763.03:6763.03:6763.03 6731.16:6731.16:6731.16 +IOPATH B[6] O[6] 2385.26:2385.26:2385.26 2474.96:2474.96:2474.96 +IOPATH B[6] O[7] 2513.33:2513.33:2513.33 2571.54:2571.54:2571.54 +IOPATH B[6] O[8] 3932.85:3932.85:3932.85 3920.29:3920.29:3920.29 +IOPATH B[6] O[9] 4110.16:4110.16:4110.16 4022.66:4022.66:4022.66 +IOPATH B[6] O[10] 4369.61:4369.61:4369.61 4318.57:4318.57:4318.57 +IOPATH B[6] O[11] 4567.99:4567.99:4567.99 4545:4545:4545 +IOPATH B[6] O[12] 4861.98:4861.98:4861.98 4813.31:4813.31:4813.31 +IOPATH B[6] O[13] 5018.51:5018.51:5018.51 4900.68:4900.68:4900.68 +IOPATH B[6] O[14] 5257.73:5257.73:5257.73 5187.07:5187.07:5187.07 +IOPATH B[6] O[15] 5121.98:5121.98:5121.98 5133.25:5133.25:5133.25 +IOPATH B[6] O[16] 5689.37:5689.37:5689.37 5613.8:5613.8:5613.8 +IOPATH B[6] O[17] 5637.87:5637.87:5637.87 5666.97:5666.97:5666.97 +IOPATH B[6] O[18] 5651.1:5651.1:5651.1 5676.48:5676.48:5676.48 +IOPATH B[6] O[19] 5906.67:5906.67:5906.67 5911.92:5911.92:5911.92 +IOPATH B[6] O[20] 5781.39:5781.39:5781.39 5758.97:5758.97:5758.97 +IOPATH B[6] O[21] 5761.72:5761.72:5761.72 5738.57:5738.57:5738.57 +IOPATH B[6] O[22] 5821.04:5821.04:5821.04 5827.09:5827.09:5827.09 +IOPATH B[6] O[23] 5901.84:5901.84:5901.84 5896.66:5896.66:5896.66 +IOPATH B[6] O[24] 5798.25:5798.25:5798.25 5832.06:5832.06:5832.06 +IOPATH B[6] O[25] 5879.76:5879.76:5879.76 5932.49:5932.49:5932.49 +IOPATH B[6] O[26] 5964.27:5964.27:5964.27 6013.49:6013.49:6013.49 +IOPATH B[6] O[27] 6057.87:6057.87:6057.87 6103.99:6103.99:6103.99 +IOPATH B[6] O[28] 6003.14:6003.14:6003.14 6022.91:6022.91:6022.91 +IOPATH B[6] O[29] 6147:6147:6147 6165.4:6165.4:6165.4 +IOPATH B[6] O[30] 6304.91:6304.91:6304.91 6300.1:6300.1:6300.1 +IOPATH B[6] O[31] 6438.14:6438.14:6438.14 6406.27:6406.27:6406.27 +IOPATH B[7] O[6] 2278.12:2278.12:2278.12 2367.82:2367.82:2367.82 +IOPATH B[7] O[7] 2406.24:2406.24:2406.24 2464.4:2464.4:2464.4 +IOPATH B[7] O[8] 3981.01:3981.01:3981.01 3953.8:3953.8:3953.8 +IOPATH B[7] O[9] 4270.18:4270.18:4270.18 4163.29:4163.29:4163.29 +IOPATH B[7] O[10] 4561.14:4561.14:4561.14 4510.1:4510.1:4510.1 +IOPATH B[7] O[11] 4759.52:4759.52:4759.52 4736.53:4736.53:4736.53 +IOPATH B[7] O[12] 5053.5:5053.5:5053.5 5003.18:5003.18:5003.18 +IOPATH B[7] O[13] 5210.04:5210.04:5210.04 5092.21:5092.21:5092.21 +IOPATH B[7] O[14] 5449.26:5449.26:5449.26 5378.6:5378.6:5378.6 +IOPATH B[7] O[15] 5313.51:5313.51:5313.51 5324.78:5324.78:5324.78 +IOPATH B[7] O[16] 5880.9:5880.9:5880.9 5805.33:5805.33:5805.33 +IOPATH B[7] O[17] 5829.4:5829.4:5829.4 5858.5:5858.5:5858.5 +IOPATH B[7] O[18] 5842.63:5842.63:5842.63 5868.01:5868.01:5868.01 +IOPATH B[7] O[19] 6098.2:6098.2:6098.2 6103.45:6103.45:6103.45 +IOPATH B[7] O[20] 5972.91:5972.91:5972.91 5950.49:5950.49:5950.49 +IOPATH B[7] O[21] 5953.25:5953.25:5953.25 5930.1:5930.1:5930.1 +IOPATH B[7] O[22] 6012.57:6012.57:6012.57 6018.62:6018.62:6018.62 +IOPATH B[7] O[23] 6093.37:6093.37:6093.37 6088.19:6088.19:6088.19 +IOPATH B[7] O[24] 5989.78:5989.78:5989.78 6023.59:6023.59:6023.59 +IOPATH B[7] O[25] 6071.29:6071.29:6071.29 6124.02:6124.02:6124.02 +IOPATH B[7] O[26] 6155.8:6155.8:6155.8 6205.02:6205.02:6205.02 +IOPATH B[7] O[27] 6249.4:6249.4:6249.4 6295.52:6295.52:6295.52 +IOPATH B[7] O[28] 6194.67:6194.67:6194.67 6214.44:6214.44:6214.44 +IOPATH B[7] O[29] 6338.53:6338.53:6338.53 6356.93:6356.93:6356.93 +IOPATH B[7] O[30] 6496.44:6496.44:6496.44 6491.63:6491.63:6491.63 +IOPATH B[7] O[31] 6629.67:6629.67:6629.67 6597.8:6597.8:6597.8 +IOPATH B[8] O[8] 2283.47:2283.47:2283.47 2255.25:2255.25:2255.25 +IOPATH B[8] O[9] 2593.55:2593.55:2593.55 2465.28:2465.28:2465.28 +IOPATH B[8] O[10] 3104.28:3104.28:3104.28 3046.81:3046.81:3046.81 +IOPATH B[8] O[11] 3361.95:3361.95:3361.95 3314:3314:3314 +IOPATH B[8] O[12] 3639.48:3639.48:3639.48 3630.25:3630.25:3630.25 +IOPATH B[8] O[13] 3796.03:3796.03:3796.03 3715.33:3715.33:3715.33 +IOPATH B[8] O[14] 4055.67:4055.67:4055.67 3988.29:3988.29:3988.29 +IOPATH B[8] O[15] 3919.92:3919.92:3919.92 3931.2:3931.2:3931.2 +IOPATH B[8] O[16] 4628.9:4628.9:4628.9 4525.01:4525.01:4525.01 +IOPATH B[8] O[17] 4599.2:4599.2:4599.2 4628.29:4628.29:4628.29 +IOPATH B[8] O[18] 4612.43:4612.43:4612.43 4637.8:4637.8:4637.8 +IOPATH B[8] O[19] 4867.99:4867.99:4867.99 4873.25:4873.25:4873.25 +IOPATH B[8] O[20] 5146.21:5146.21:5146.21 5133.1:5133.1:5133.1 +IOPATH B[8] O[21] 5126.55:5126.55:5126.55 5103.39:5103.39:5103.39 +IOPATH B[8] O[22] 5185.87:5185.87:5185.87 5191.91:5191.91:5191.91 +IOPATH B[8] O[23] 5266.67:5266.67:5266.67 5261.48:5261.48:5261.48 +IOPATH B[8] O[24] 5391.81:5391.81:5391.81 5378.05:5378.05:5378.05 +IOPATH B[8] O[25] 5488.99:5488.99:5488.99 5491.3:5491.3:5491.3 +IOPATH B[8] O[26] 5587.11:5587.11:5587.11 5585.85:5585.85:5585.85 +IOPATH B[8] O[27] 5691.74:5691.74:5691.74 5687.66:5687.66:5687.66 +IOPATH B[8] O[28] 5802.5:5802.5:5802.5 5797.53:5797.53:5797.53 +IOPATH B[8] O[29] 5946.37:5946.37:5946.37 5953.38:5953.38:5953.38 +IOPATH B[8] O[30] 6104.28:6104.28:6104.28 6099.46:6099.46:6099.46 +IOPATH B[8] O[31] 6237.5:6237.5:6237.5 6205.63:6205.63:6205.63 +IOPATH B[9] O[8] 2527.03:2527.03:2527.03 2494.7:2494.7:2494.7 +IOPATH B[9] O[9] 2837.21:2837.21:2837.21 2708.94:2708.94:2708.94 +IOPATH B[9] O[10] 3396.5:3396.5:3396.5 3339.03:3339.03:3339.03 +IOPATH B[9] O[11] 3654.19:3654.19:3654.19 3606.24:3606.24:3606.24 +IOPATH B[9] O[12] 3931.72:3931.72:3931.72 3922.49:3922.49:3922.49 +IOPATH B[9] O[13] 4119.88:4119.88:4119.88 4007.57:4007.57:4007.57 +IOPATH B[9] O[14] 4383.54:4383.54:4383.54 4312.88:4312.88:4312.88 +IOPATH B[9] O[15] 4247.79:4247.79:4247.79 4259.06:4259.06:4259.06 +IOPATH B[9] O[16] 4956.82:4956.82:4956.82 4852.93:4852.93:4852.93 +IOPATH B[9] O[17] 4927.12:4927.12:4927.12 4956.21:4956.21:4956.21 +IOPATH B[9] O[18] 4940.34:4940.34:4940.34 4965.72:4965.72:4965.72 +IOPATH B[9] O[19] 5195.91:5195.91:5195.91 5201.17:5201.17:5201.17 +IOPATH B[9] O[20] 5474.13:5474.13:5474.13 5461.02:5461.02:5461.02 +IOPATH B[9] O[21] 5454.47:5454.47:5454.47 5431.31:5431.31:5431.31 +IOPATH B[9] O[22] 5513.79:5513.79:5513.79 5519.83:5519.83:5519.83 +IOPATH B[9] O[23] 5594.58:5594.58:5594.58 5589.4:5589.4:5589.4 +IOPATH B[9] O[24] 5719.72:5719.72:5719.72 5705.96:5705.96:5705.96 +IOPATH B[9] O[25] 5816.91:5816.91:5816.91 5819.22:5819.22:5819.22 +IOPATH B[9] O[26] 5915.03:5915.03:5915.03 5913.77:5913.77:5913.77 +IOPATH B[9] O[27] 6019.66:6019.66:6019.66 6015.58:6015.58:6015.58 +IOPATH B[9] O[28] 6130.42:6130.42:6130.42 6125.45:6125.45:6125.45 +IOPATH B[9] O[29] 6274.28:6274.28:6274.28 6281.3:6281.3:6281.3 +IOPATH B[9] O[30] 6432.2:6432.2:6432.2 6427.38:6427.38:6427.38 +IOPATH B[9] O[31] 6565.42:6565.42:6565.42 6533.55:6533.55:6533.55 +IOPATH B[10] O[10] 3137.58:3137.58:3137.58 3080.11:3080.11:3080.11 +IOPATH B[10] O[11] 3395.25:3395.25:3395.25 3347.3:3347.3:3347.3 +IOPATH B[10] O[12] 3706.5:3706.5:3706.5 3663.55:3663.55:3663.55 +IOPATH B[10] O[13] 3956.98:3956.98:3956.98 3812.73:3812.73:3812.73 +IOPATH B[10] O[14] 4220.64:4220.64:4220.64 4149.99:4149.99:4149.99 +IOPATH B[10] O[15] 4084.89:4084.89:4084.89 4096.16:4096.16:4096.16 +IOPATH B[10] O[16] 4793.27:4793.27:4793.27 4689.38:4689.38:4689.38 +IOPATH B[10] O[17] 4763.57:4763.57:4763.57 4792.67:4792.67:4792.67 +IOPATH B[10] O[18] 4776.8:4776.8:4776.8 4802.18:4802.18:4802.18 +IOPATH B[10] O[19] 5032.37:5032.37:5032.37 5037.62:5037.62:5037.62 +IOPATH B[10] O[20] 5310.59:5310.59:5310.59 5297.47:5297.47:5297.47 +IOPATH B[10] O[21] 5290.92:5290.92:5290.92 5267.77:5267.77:5267.77 +IOPATH B[10] O[22] 5350.24:5350.24:5350.24 5356.29:5356.29:5356.29 +IOPATH B[10] O[23] 5431.04:5431.04:5431.04 5425.86:5425.86:5425.86 +IOPATH B[10] O[24] 5556.18:5556.18:5556.18 5542.42:5542.42:5542.42 +IOPATH B[10] O[25] 5653.37:5653.37:5653.37 5655.67:5655.67:5655.67 +IOPATH B[10] O[26] 5751.48:5751.48:5751.48 5750.22:5750.22:5750.22 +IOPATH B[10] O[27] 5856.11:5856.11:5856.11 5852.03:5852.03:5852.03 +IOPATH B[10] O[28] 5966.88:5966.88:5966.88 5961.91:5961.91:5961.91 +IOPATH B[10] O[29] 6110.74:6110.74:6110.74 6117.75:6117.75:6117.75 +IOPATH B[10] O[30] 6268.65:6268.65:6268.65 6263.83:6263.83:6263.83 +IOPATH B[10] O[31] 6401.88:6401.88:6401.88 6370:6370:6370 +IOPATH B[11] O[10] 3082.13:3082.13:3082.13 3024.67:3024.67:3024.67 +IOPATH B[11] O[11] 3517.42:3517.42:3517.42 3469.47:3469.47:3469.47 +IOPATH B[11] O[12] 3860.56:3860.56:3860.56 3785.72:3785.72:3785.72 +IOPATH B[11] O[13] 4110.92:4110.92:4110.92 3966.67:3966.67:3966.67 +IOPATH B[11] O[14] 4374.58:4374.58:4374.58 4303.93:4303.93:4303.93 +IOPATH B[11] O[15] 4238.83:4238.83:4238.83 4250.11:4250.11:4250.11 +IOPATH B[11] O[16] 4945.82:4945.82:4945.82 4841.93:4841.93:4841.93 +IOPATH B[11] O[17] 4916.12:4916.12:4916.12 4945.21:4945.21:4945.21 +IOPATH B[11] O[18] 4929.35:4929.35:4929.35 4954.73:4954.73:4954.73 +IOPATH B[11] O[19] 5184.91:5184.91:5184.91 5190.17:5190.17:5190.17 +IOPATH B[11] O[20] 5463.13:5463.13:5463.13 5450.02:5450.02:5450.02 +IOPATH B[11] O[21] 5443.47:5443.47:5443.47 5420.32:5420.32:5420.32 +IOPATH B[11] O[22] 5502.79:5502.79:5502.79 5508.83:5508.83:5508.83 +IOPATH B[11] O[23] 5583.59:5583.59:5583.59 5578.4:5578.4:5578.4 +IOPATH B[11] O[24] 5708.73:5708.73:5708.73 5694.97:5694.97:5694.97 +IOPATH B[11] O[25] 5805.91:5805.91:5805.91 5808.22:5808.22:5808.22 +IOPATH B[11] O[26] 5904.03:5904.03:5904.03 5902.77:5902.77:5902.77 +IOPATH B[11] O[27] 6008.66:6008.66:6008.66 6004.58:6004.58:6004.58 +IOPATH B[11] O[28] 6119.42:6119.42:6119.42 6114.45:6114.45:6114.45 +IOPATH B[11] O[29] 6263.29:6263.29:6263.29 6270.3:6270.3:6270.3 +IOPATH B[11] O[30] 6421.2:6421.2:6421.2 6416.38:6416.38:6416.38 +IOPATH B[11] O[31] 6554.42:6554.42:6554.42 6522.55:6522.55:6522.55 +IOPATH B[12] O[12] 3436.98:3436.98:3436.98 3350.22:3350.22:3350.22 +IOPATH B[12] O[13] 3687.34:3687.34:3687.34 3543.1:3543.1:3543.1 +IOPATH B[12] O[14] 3983.81:3983.81:3983.81 3886.73:3886.73:3886.73 +IOPATH B[12] O[15] 3982.18:3982.18:3982.18 3968.39:3968.39:3968.39 +IOPATH B[12] O[16] 4695.28:4695.28:4695.28 4591.39:4591.39:4591.39 +IOPATH B[12] O[17] 4665.58:4665.58:4665.58 4694.67:4694.67:4694.67 +IOPATH B[12] O[18] 4678.81:4678.81:4678.81 4704.18:4704.18:4704.18 +IOPATH B[12] O[19] 4934.37:4934.37:4934.37 4939.63:4939.63:4939.63 +IOPATH B[12] O[20] 5212.59:5212.59:5212.59 5199.48:5199.48:5199.48 +IOPATH B[12] O[21] 5192.93:5192.93:5192.93 5169.77:5169.77:5169.77 +IOPATH B[12] O[22] 5252.25:5252.25:5252.25 5258.29:5258.29:5258.29 +IOPATH B[12] O[23] 5333.04:5333.04:5333.04 5327.86:5327.86:5327.86 +IOPATH B[12] O[24] 5458.18:5458.18:5458.18 5444.43:5444.43:5444.43 +IOPATH B[12] O[25] 5555.37:5555.37:5555.37 5557.68:5557.68:5557.68 +IOPATH B[12] O[26] 5653.49:5653.49:5653.49 5652.23:5652.23:5652.23 +IOPATH B[12] O[27] 5758.12:5758.12:5758.12 5754.04:5754.04:5754.04 +IOPATH B[12] O[28] 5868.88:5868.88:5868.88 5863.91:5863.91:5863.91 +IOPATH B[12] O[29] 6012.75:6012.75:6012.75 6019.76:6019.76:6019.76 +IOPATH B[12] O[30] 6170.66:6170.66:6170.66 6165.84:6165.84:6165.84 +IOPATH B[12] O[31] 6303.88:6303.88:6303.88 6272.01:6272.01:6272.01 +IOPATH B[13] O[12] 3439.8:3439.8:3439.8 3353.03:3353.03:3353.03 +IOPATH B[13] O[13] 3690.28:3690.28:3690.28 3546.03:3546.03:3546.03 +IOPATH B[13] O[14] 3987.65:3987.65:3987.65 3890.46:3890.46:3890.46 +IOPATH B[13] O[15] 3990.06:3990.06:3990.06 3976.28:3976.28:3976.28 +IOPATH B[13] O[16] 4703.16:4703.16:4703.16 4599.28:4599.28:4599.28 +IOPATH B[13] O[17] 4673.46:4673.46:4673.46 4702.56:4702.56:4702.56 +IOPATH B[13] O[18] 4686.69:4686.69:4686.69 4712.07:4712.07:4712.07 +IOPATH B[13] O[19] 4942.26:4942.26:4942.26 4947.51:4947.51:4947.51 +IOPATH B[13] O[20] 5220.48:5220.48:5220.48 5207.37:5207.37:5207.37 +IOPATH B[13] O[21] 5200.82:5200.82:5200.82 5177.66:5177.66:5177.66 +IOPATH B[13] O[22] 5260.13:5260.13:5260.13 5266.18:5266.18:5266.18 +IOPATH B[13] O[23] 5340.93:5340.93:5340.93 5335.75:5335.75:5335.75 +IOPATH B[13] O[24] 5508.39:5508.39:5508.39 5494.63:5494.63:5494.63 +IOPATH B[13] O[25] 5605.58:5605.58:5605.58 5607.89:5607.89:5607.89 +IOPATH B[13] O[26] 5703.7:5703.7:5703.7 5702.44:5702.44:5702.44 +IOPATH B[13] O[27] 5808.33:5808.33:5808.33 5804.25:5804.25:5804.25 +IOPATH B[13] O[28] 5919.09:5919.09:5919.09 5914.12:5914.12:5914.12 +IOPATH B[13] O[29] 6062.95:6062.95:6062.95 6069.97:6069.97:6069.97 +IOPATH B[13] O[30] 6220.87:6220.87:6220.87 6216.05:6216.05:6216.05 +IOPATH B[13] O[31] 6354.09:6354.09:6354.09 6322.22:6322.22:6322.22 +IOPATH B[14] O[14] 3524.28:3524.28:3524.28 3427.08:3427.08:3427.08 +IOPATH B[14] O[15] 3461.31:3461.31:3461.31 3447.53:3447.53:3447.53 +IOPATH B[14] O[16] 4174.41:4174.41:4174.41 4070.52:4070.52:4070.52 +IOPATH B[14] O[17] 4144.71:4144.71:4144.71 4173.81:4173.81:4173.81 +IOPATH B[14] O[18] 4157.94:4157.94:4157.94 4183.32:4183.32:4183.32 +IOPATH B[14] O[19] 4413.51:4413.51:4413.51 4418.76:4418.76:4418.76 +IOPATH B[14] O[20] 4691.73:4691.73:4691.73 4678.62:4678.62:4678.62 +IOPATH B[14] O[21] 4672.07:4672.07:4672.07 4648.91:4648.91:4648.91 +IOPATH B[14] O[22] 4731.39:4731.39:4731.39 4737.43:4737.43:4737.43 +IOPATH B[14] O[23] 4812.18:4812.18:4812.18 4807:4807:4807 +IOPATH B[14] O[24] 5200.54:5200.54:5200.54 5186.78:5186.78:5186.78 +IOPATH B[14] O[25] 5297.73:5297.73:5297.73 5300.03:5300.03:5300.03 +IOPATH B[14] O[26] 5395.84:5395.84:5395.84 5394.58:5394.58:5394.58 +IOPATH B[14] O[27] 5500.47:5500.47:5500.47 5496.39:5496.39:5496.39 +IOPATH B[14] O[28] 5611.23:5611.23:5611.23 5606.27:5606.27:5606.27 +IOPATH B[14] O[29] 5755.1:5755.1:5755.1 5762.11:5762.11:5762.11 +IOPATH B[14] O[30] 5913.01:5913.01:5913.01 5908.19:5908.19:5908.19 +IOPATH B[14] O[31] 6046.24:6046.24:6046.24 6014.36:6014.36:6014.36 +IOPATH B[15] O[14] 3692.79:3692.79:3692.79 3595.59:3595.59:3595.59 +IOPATH B[15] O[15] 3629.87:3629.87:3629.87 3616.09:3616.09:3616.09 +IOPATH B[15] O[16] 4432.11:4432.11:4432.11 4328.22:4328.22:4328.22 +IOPATH B[15] O[17] 4483.91:4483.91:4483.91 4485.47:4485.47:4485.47 +IOPATH B[15] O[18] 4521.15:4521.15:4521.15 4546.53:4546.53:4546.53 +IOPATH B[15] O[19] 4780.61:4780.61:4780.61 4785.86:4785.86:4785.86 +IOPATH B[15] O[20] 5088.28:5088.28:5088.28 5075.17:5075.17:5075.17 +IOPATH B[15] O[21] 5068.62:5068.62:5068.62 5045.46:5045.46:5045.46 +IOPATH B[15] O[22] 5127.94:5127.94:5127.94 5133.98:5133.98:5133.98 +IOPATH B[15] O[23] 5208.74:5208.74:5208.74 5203.55:5203.55:5203.55 +IOPATH B[15] O[24] 5651.62:5651.62:5651.62 5637.86:5637.86:5637.86 +IOPATH B[15] O[25] 5748.81:5748.81:5748.81 5751.11:5751.11:5751.11 +IOPATH B[15] O[26] 5846.92:5846.92:5846.92 5845.66:5845.66:5845.66 +IOPATH B[15] O[27] 5951.55:5951.55:5951.55 5947.47:5947.47:5947.47 +IOPATH B[15] O[28] 6062.32:6062.32:6062.32 6057.35:6057.35:6057.35 +IOPATH B[15] O[29] 6206.18:6206.18:6206.18 6213.19:6213.19:6213.19 +IOPATH B[15] O[30] 6364.09:6364.09:6364.09 6359.27:6359.27:6359.27 +IOPATH B[15] O[31] 6497.32:6497.32:6497.32 6465.45:6465.45:6465.45 CELL SB_PLL40_2F_CORE HOLD negedge:SDI negedge:SCLK 0:0:0 diff --git a/icefuzz/tmedges.txt b/icefuzz/tmedges.txt index 8ed0532..3430881 100644 --- a/icefuzz/tmedges.txt +++ b/icefuzz/tmedges.txt @@ -1,6 +1,26 @@ CEMux.O LogicCell40.ce CEMux.O PRE_IO.CLOCKENABLE -CEMux.O SB_MAC16.CE +CEMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CE +CEMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_ADS_U_16P16_BYPASS.CE +CEMux.O SB_MAC16_ADS_U_32P32_BYPASS.CE +CEMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CE +CEMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MAC_U_16X16_BYPASS.CE +CEMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CE +CEMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MAC_U_8X8_BYPASS.CE +CEMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MUL_S_16X16_BYPASS.CE +CEMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CE +CEMux.O SB_MAC16_MUL_S_8X8_BYPASS.CE +CEMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MUL_U_16X16_BYPASS.CE +CEMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CE +CEMux.O SB_MAC16_MUL_U_8X8_BYPASS.CE CEMux.O SB_RAM40_4K.RCLKE CEMux.O SB_RAM40_4K.WCLKE CascadeBuf.O CascadeMux.I @@ -33,10 +53,27 @@ ClkMux.O INV.I ClkMux.O LogicCell40.clk ClkMux.O PRE_IO.INPUTCLK ClkMux.O PRE_IO.OUTPUTCLK -ClkMux.O SB_MAC16.CLK +ClkMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ADS_U_32P32_BYPASS.CLK +ClkMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CLK +ClkMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MAC_U_16X16_BYPASS.CLK +ClkMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CLK +ClkMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MAC_U_8X8_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MUL_S_16X16_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_S_8X8_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MUL_U_16X16_BYPASS.CLK ClkMux.O SB_RAM40_4K.RCLK ClkMux.O SB_RAM40_4K.WCLK ClkMux.O SB_SPRAM256KA.CLOCK +DummyBuf.O Odrv12.I DummyBuf.O Odrv4.I DummyBuf.O SB_LEDDA_IP.LEDDADDR0 DummyBuf.O SB_LEDDA_IP.LEDDADDR1 @@ -63,7 +100,27 @@ GND.Y LogicCell40.in2 GND.Y LogicCell40.in3 GND.Y LogicCell40.sr GND.Y PRE_IO.DOUT0 -GND.Y SB_MAC16.CLK +GND.Y SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CLK +GND.Y SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_ADS_U_16P16_BYPASS.CLK +GND.Y SB_MAC16_ADS_U_32P32_BYPASS.CLK +GND.Y SB_MAC16_MAC_S_16X16_IM_BYPASS.CLK +GND.Y SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MAC_U_16X16_BYPASS.CLK +GND.Y SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MAC_U_16X16_IM_BYPASS.CLK +GND.Y SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MAC_U_8X8_BYPASS.CLK +GND.Y SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MUL_S_16X16_BYPASS.CLK +GND.Y SB_MAC16_MUL_S_16X16_IM_BYPASS.CLK +GND.Y SB_MAC16_MUL_S_8X8_BYPASS.CLK +GND.Y SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MUL_U_16X16_BYPASS.CLK +GND.Y SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CLK +GND.Y SB_MAC16_MUL_U_8X8_BYPASS.CLK GND.Y SB_RAM40_4K.RCLK GND.Y SB_RAM40_4K.WCLK Glb2LocalMux.O LocalMux.I @@ -92,81 +149,1250 @@ InMux.O SB_HFOSC.CLKHFEN InMux.O SB_HFOSC.CLKHFPU InMux.O SB_LFOSC.CLKLFEN InMux.O SB_LFOSC.CLKLFPU -InMux.O SB_MAC16.ADDSUBBOT -InMux.O SB_MAC16.ADDSUBTOP -InMux.O SB_MAC16.AHOLD -InMux.O SB_MAC16.A[0] -InMux.O SB_MAC16.A[10] -InMux.O SB_MAC16.A[11] -InMux.O SB_MAC16.A[12] -InMux.O SB_MAC16.A[13] -InMux.O SB_MAC16.A[14] -InMux.O SB_MAC16.A[15] -InMux.O SB_MAC16.A[1] -InMux.O SB_MAC16.A[2] -InMux.O SB_MAC16.A[3] -InMux.O SB_MAC16.A[4] -InMux.O SB_MAC16.A[5] -InMux.O SB_MAC16.A[6] -InMux.O SB_MAC16.A[7] -InMux.O SB_MAC16.A[8] -InMux.O SB_MAC16.A[9] -InMux.O SB_MAC16.BHOLD -InMux.O SB_MAC16.B[0] -InMux.O SB_MAC16.B[10] -InMux.O SB_MAC16.B[11] -InMux.O SB_MAC16.B[12] -InMux.O SB_MAC16.B[13] -InMux.O SB_MAC16.B[14] -InMux.O SB_MAC16.B[15] -InMux.O SB_MAC16.B[1] -InMux.O SB_MAC16.B[2] -InMux.O SB_MAC16.B[3] -InMux.O SB_MAC16.B[4] -InMux.O SB_MAC16.B[5] -InMux.O SB_MAC16.B[6] -InMux.O SB_MAC16.B[7] -InMux.O SB_MAC16.B[8] -InMux.O SB_MAC16.B[9] -InMux.O SB_MAC16.CHOLD -InMux.O SB_MAC16.CI -InMux.O SB_MAC16.C[0] -InMux.O SB_MAC16.C[10] -InMux.O SB_MAC16.C[11] -InMux.O SB_MAC16.C[12] -InMux.O SB_MAC16.C[13] -InMux.O SB_MAC16.C[14] -InMux.O SB_MAC16.C[15] -InMux.O SB_MAC16.C[1] -InMux.O SB_MAC16.C[2] -InMux.O SB_MAC16.C[3] -InMux.O SB_MAC16.C[4] -InMux.O SB_MAC16.C[5] -InMux.O SB_MAC16.C[6] -InMux.O SB_MAC16.C[7] -InMux.O SB_MAC16.C[8] -InMux.O SB_MAC16.C[9] -InMux.O SB_MAC16.DHOLD -InMux.O SB_MAC16.D[0] -InMux.O SB_MAC16.D[10] -InMux.O SB_MAC16.D[11] -InMux.O SB_MAC16.D[12] -InMux.O SB_MAC16.D[13] -InMux.O SB_MAC16.D[14] -InMux.O SB_MAC16.D[15] -InMux.O SB_MAC16.D[1] -InMux.O SB_MAC16.D[2] -InMux.O SB_MAC16.D[3] -InMux.O SB_MAC16.D[4] -InMux.O SB_MAC16.D[5] -InMux.O SB_MAC16.D[6] -InMux.O SB_MAC16.D[7] -InMux.O SB_MAC16.D[8] -InMux.O SB_MAC16.D[9] -InMux.O SB_MAC16.OHOLDBOT -InMux.O SB_MAC16.OHOLDTOP -InMux.O SB_MAC16.OLOADBOT -InMux.O SB_MAC16.OLOADTOP +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CI +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CI +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.AHOLD +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[0] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[10] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[11] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[13] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[15] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[1] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[2] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[3] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[4] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[5] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[6] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[8] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[9] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.BHOLD +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[0] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[10] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[12] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[15] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[3] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[4] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[6] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[7] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[9] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[10] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[11] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[12] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[14] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[15] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[1] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[3] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[4] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[5] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[6] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[7] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[8] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[9] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.DHOLD +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[0] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[11] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[13] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[1] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[3] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[4] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[5] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[6] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[7] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[8] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[9] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDTOP +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADBOT +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADTOP +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[10] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[13] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[1] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[2] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[3] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[4] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[6] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[8] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[10] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[12] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[2] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[3] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[7] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[8] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.CHOLD +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[12] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[1] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[3] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[4] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[5] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[7] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[8] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[9] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.DHOLD +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[0] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[11] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[15] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[2] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[3] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[4] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[5] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[6] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[9] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OLOADBOT +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[0] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[11] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[12] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[13] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[15] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[1] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[2] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[3] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[6] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[7] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[8] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[9] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[10] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[11] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[12] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[14] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[15] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[3] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[5] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[6] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[7] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[8] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[9] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CI +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[0] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[10] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[11] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[12] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[13] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[14] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[15] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[1] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[2] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[3] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[6] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[7] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[8] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[9] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[0] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[10] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[11] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[13] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[14] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[15] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[1] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[2] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[3] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OLOADBOT +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[12] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[1] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[2] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[4] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[5] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[7] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[8] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[9] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[0] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[10] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[14] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[15] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[1] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[4] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[8] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[9] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.CI +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[0] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[12] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[15] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[1] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[2] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[3] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[4] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[5] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[7] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[9] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[10] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[15] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[3] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[8] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[9] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CI +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[0] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[12] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[15] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[1] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[3] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[4] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[5] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[6] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[9] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[10] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[11] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[12] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[13] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[14] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[15] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[2] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[3] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[4] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[5] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[7] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[9] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CI +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[0] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[10] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[11] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[12] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[13] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[15] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[1] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[2] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[3] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[4] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[5] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[7] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[0] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[10] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[12] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[13] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[2] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[3] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[4] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[6] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OLOADBOT +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CI +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[10] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[12] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[14] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[15] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[1] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[4] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[5] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[9] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[0] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[10] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[11] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[12] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[15] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[1] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[3] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[4] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[8] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[0] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[10] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[11] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[14] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[4] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[5] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[8] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[9] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[10] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[13] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[1] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[4] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[7] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[9] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CI +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[10] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[12] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[13] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[14] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[15] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[1] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[2] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[3] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[8] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[9] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[10] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[11] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[12] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[14] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[15] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[1] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[2] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[7] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[8] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[9] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.CI +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[10] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[11] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[12] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[13] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[14] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[15] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[1] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[2] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[3] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[5] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[6] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[7] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[9] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.DHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[0] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[10] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[11] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[12] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[13] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[14] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[15] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[1] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[2] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[3] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[6] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[7] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[9] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OLOADTOP +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[0] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[12] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[13] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[4] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[6] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[7] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[8] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[9] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[0] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[11] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[12] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[13] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[3] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[4] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[7] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[8] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[9] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CI +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[10] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[11] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[15] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[2] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[4] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[6] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[8] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[9] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[0] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[10] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[11] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[12] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[14] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[15] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[3] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[0] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[10] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[11] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[12] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[13] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[14] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[15] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[1] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[4] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[5] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[6] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[7] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[9] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[0] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[10] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[12] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[14] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[1] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[3] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[4] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[7] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[8] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[9] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.CI +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[0] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[10] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[11] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[13] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[14] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[15] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[1] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[4] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[5] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[8] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.DHOLD +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[0] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[10] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[12] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[14] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[15] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[1] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[4] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[5] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[6] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[8] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[9] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.OLOADTOP +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CI +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[0] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[10] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[11] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[12] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[13] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[14] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[15] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[1] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[2] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[3] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[4] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[5] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[6] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[7] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[8] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[9] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[0] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[10] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[11] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[13] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[14] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[15] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[1] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[2] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[3] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[4] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[5] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[6] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[7] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[8] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[9] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.CI +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[0] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[10] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[11] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[12] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[13] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[14] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[1] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[2] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[3] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[4] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[5] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[6] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[7] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[8] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[9] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.DHOLD +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[0] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[10] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[11] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[12] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[13] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[14] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[15] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[1] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[2] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[3] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[4] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[5] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[7] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[8] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[9] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OLOADTOP +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CI +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[0] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[12] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[3] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[4] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[6] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[9] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[0] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[12] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[15] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[3] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[4] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[0] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[11] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[12] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[4] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[15] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[4] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[7] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[8] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[9] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OLOADBOT InMux.O SB_RAM40_4K.MASK[0] InMux.O SB_RAM40_4K.MASK[10] InMux.O SB_RAM40_4K.MASK[11] @@ -427,102 +1653,1084 @@ SB_LEDDA_IP.PWMOUT1 DummyBuf.I SB_LEDDA_IP.PWMOUT2 DummyBuf.I SB_LFOSC.CLKLF GlobalMux.I SB_LFOSC.CLKLF Odrv12.I -SB_MAC16.ACCUMCO SB_MAC16.ACCUMCI -SB_MAC16.CO LocalMux.I -SB_MAC16.CO Odrv12.I -SB_MAC16.CO Odrv4.I -SB_MAC16.O[0] LocalMux.I -SB_MAC16.O[0] Odrv12.I -SB_MAC16.O[0] Odrv4.I -SB_MAC16.O[10] LocalMux.I -SB_MAC16.O[10] Odrv12.I -SB_MAC16.O[10] Odrv4.I -SB_MAC16.O[11] LocalMux.I -SB_MAC16.O[11] Odrv12.I -SB_MAC16.O[11] Odrv4.I -SB_MAC16.O[12] LocalMux.I -SB_MAC16.O[12] Odrv12.I -SB_MAC16.O[12] Odrv4.I -SB_MAC16.O[13] LocalMux.I -SB_MAC16.O[13] Odrv12.I -SB_MAC16.O[13] Odrv4.I -SB_MAC16.O[14] LocalMux.I -SB_MAC16.O[14] Odrv12.I -SB_MAC16.O[14] Odrv4.I -SB_MAC16.O[15] LocalMux.I -SB_MAC16.O[15] Odrv12.I -SB_MAC16.O[15] Odrv4.I -SB_MAC16.O[16] LocalMux.I -SB_MAC16.O[16] Odrv12.I -SB_MAC16.O[16] Odrv4.I -SB_MAC16.O[17] LocalMux.I -SB_MAC16.O[17] Odrv12.I -SB_MAC16.O[17] Odrv4.I -SB_MAC16.O[18] LocalMux.I -SB_MAC16.O[18] Odrv12.I -SB_MAC16.O[18] Odrv4.I -SB_MAC16.O[19] Odrv12.I -SB_MAC16.O[19] Odrv4.I -SB_MAC16.O[1] LocalMux.I -SB_MAC16.O[1] Odrv12.I -SB_MAC16.O[1] Odrv4.I -SB_MAC16.O[20] LocalMux.I -SB_MAC16.O[20] Odrv12.I -SB_MAC16.O[20] Odrv4.I -SB_MAC16.O[21] LocalMux.I -SB_MAC16.O[21] Odrv12.I -SB_MAC16.O[21] Odrv4.I -SB_MAC16.O[22] Odrv12.I -SB_MAC16.O[22] Odrv4.I -SB_MAC16.O[23] LocalMux.I -SB_MAC16.O[23] Odrv12.I -SB_MAC16.O[23] Odrv4.I -SB_MAC16.O[24] LocalMux.I -SB_MAC16.O[24] Odrv12.I -SB_MAC16.O[24] Odrv4.I -SB_MAC16.O[25] LocalMux.I -SB_MAC16.O[25] Odrv12.I -SB_MAC16.O[25] Odrv4.I -SB_MAC16.O[26] Odrv12.I -SB_MAC16.O[26] Odrv4.I -SB_MAC16.O[27] LocalMux.I -SB_MAC16.O[27] Odrv12.I -SB_MAC16.O[27] Odrv4.I -SB_MAC16.O[28] Odrv12.I -SB_MAC16.O[28] Odrv4.I -SB_MAC16.O[29] Odrv12.I -SB_MAC16.O[29] Odrv4.I -SB_MAC16.O[2] LocalMux.I -SB_MAC16.O[2] Odrv12.I -SB_MAC16.O[2] Odrv4.I -SB_MAC16.O[30] LocalMux.I -SB_MAC16.O[30] Odrv12.I -SB_MAC16.O[30] Odrv4.I -SB_MAC16.O[31] LocalMux.I -SB_MAC16.O[31] Odrv12.I -SB_MAC16.O[31] Odrv4.I -SB_MAC16.O[3] LocalMux.I -SB_MAC16.O[3] Odrv12.I -SB_MAC16.O[3] Odrv4.I -SB_MAC16.O[4] LocalMux.I -SB_MAC16.O[4] Odrv12.I -SB_MAC16.O[4] Odrv4.I -SB_MAC16.O[5] LocalMux.I -SB_MAC16.O[5] Odrv12.I -SB_MAC16.O[5] Odrv4.I -SB_MAC16.O[6] LocalMux.I -SB_MAC16.O[6] Odrv12.I -SB_MAC16.O[6] Odrv4.I -SB_MAC16.O[7] LocalMux.I -SB_MAC16.O[7] Odrv12.I -SB_MAC16.O[7] Odrv4.I -SB_MAC16.O[8] LocalMux.I -SB_MAC16.O[8] Odrv12.I -SB_MAC16.O[8] Odrv4.I -SB_MAC16.O[9] LocalMux.I -SB_MAC16.O[9] Odrv12.I -SB_MAC16.O[9] Odrv4.I -SB_MAC16.SIGNEXTOUT SB_MAC16.SIGNEXTIN +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ACCUMCO SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ACCUMCI +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[22] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[31] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCO SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCI +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCO SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCI +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[17] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ADS_U_16P16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCO SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCI +SB_MAC16_ADS_U_16P16_BYPASS.CO LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.CO Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[10] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[11] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[11] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[12] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[14] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[15] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[16] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[17] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[17] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[19] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[1] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[20] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[21] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[27] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[29] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[29] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[2] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[30] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[31] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[4] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[4] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[5] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[5] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[7] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[7] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[8] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[9] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[9] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTOUT SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTIN +SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCO SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCI +SB_MAC16_ADS_U_32P32_BYPASS.CO Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[0] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[10] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[11] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[12] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[14] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[15] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[16] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[17] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[18] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[1] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[1] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[20] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[21] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[22] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[24] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[25] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[26] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[29] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[2] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[30] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[31] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[4] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[4] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[5] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[6] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[7] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[8] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[9] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.SIGNEXTOUT SB_MAC16_ADS_U_32P32_BYPASS.SIGNEXTIN +SB_MAC16_MAC_S_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MAC_S_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_S_16X16_IM_BYPASS.CO Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[0] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[10] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[12] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[18] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[19] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[26] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[6] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[6] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[8] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTIN +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCI +SB_MAC16_MAC_U_16X16_BYPASS.CO Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[19] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[25] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[9] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTIN +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[27] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_U_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[10] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[14] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[23] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[28] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[30] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[4] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_16X16_IM_BYPASS.SIGNEXTIN +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[16] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[20] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_8X8_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MAC_U_8X8_BYPASS.ACCUMCO SB_MAC16_MAC_U_8X8_BYPASS.ACCUMCI +SB_MAC16_MAC_U_8X8_BYPASS.CO LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.CO Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[12] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[13] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[18] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[2] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[6] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[7] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[8] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[9] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTIN +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCI +SB_MAC16_MUL_S_16X16_BYPASS.CO Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.CO Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[11] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[12] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[22] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[29] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[30] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[5] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[5] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[7] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[9] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTIN +SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MUL_S_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTIN +SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCI +SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[11] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[13] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[14] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[15] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[16] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[1] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[20] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[21] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[24] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[25] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[26] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[27] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[28] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[29] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[29] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[30] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[31] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[5] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[6] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[8] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[9] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTIN +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_U_16X16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MUL_U_16X16_BYPASS.ACCUMCO SB_MAC16_MUL_U_16X16_BYPASS.ACCUMCI +SB_MAC16_MUL_U_16X16_BYPASS.CO Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.CO Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[0] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[0] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[10] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[12] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[13] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[15] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[15] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[16] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[17] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[18] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[21] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[22] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[23] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[24] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[26] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[29] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[5] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[5] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[7] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[9] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTIN +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCI +SB_MAC16_MUL_U_8X8_BYPASS.O[0] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[1] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[20] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[22] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[30] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_8X8_BYPASS.SIGNEXTIN SB_PLL40_2F_CORE.LOCK LocalMux.I SB_PLL40_2F_CORE.PLLOUTCOREA LocalMux.I SB_PLL40_2F_CORE.PLLOUTCOREA Odrv12.I @@ -588,10 +2796,73 @@ SB_RAM40_4K.RDATA[9] LocalMux.I SB_RAM40_4K.RDATA[9] Odrv12.I SB_RAM40_4K.RDATA[9] Odrv4.I SRMux.O LogicCell40.sr -SRMux.O SB_MAC16.IRSTBOT -SRMux.O SB_MAC16.IRSTTOP -SRMux.O SB_MAC16.ORSTBOT -SRMux.O SB_MAC16.ORSTTOP +SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.IRSTBOT +SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.IRSTTOP +SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.IRSTBOT +SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.ORSTBOT SRMux.O SB_RAM40_4K.RE SRMux.O SB_RAM40_4K.WE Sp12to4.O IoSpan4Mux.I @@ -710,6 +2981,7 @@ Span12Mux_s2_h.O Span12Mux_v.I Span12Mux_s2_v.O LocalMux.I Span12Mux_s2_v.O Sp12to4.I Span12Mux_s2_v.O Span12Mux_h.I +Span12Mux_s2_v.O Span12Mux_s10_h.I Span12Mux_s2_v.O Span12Mux_s11_h.I Span12Mux_s2_v.O Span12Mux_s2_h.I Span12Mux_s2_v.O Span12Mux_s5_h.I @@ -734,6 +3006,7 @@ Span12Mux_s3_h.O Span12Mux_v.I Span12Mux_s3_v.O LocalMux.I Span12Mux_s3_v.O Sp12to4.I Span12Mux_s3_v.O Span12Mux_h.I +Span12Mux_s3_v.O Span12Mux_s11_h.I Span12Mux_s3_v.O Span12Mux_s7_h.I Span12Mux_s3_v.O Span12Mux_s8_h.I Span12Mux_s3_v.O Span12Mux_s9_h.I diff --git a/icefuzz/tmedges.ys b/icefuzz/tmedges.ys index e1f1f40..3a5146b 100644 --- a/icefuzz/tmedges.ys +++ b/icefuzz/tmedges.ys @@ -29,4 +29,4 @@ hierarchy -generate gio2CtrlBuf i:I o:O hierarchy -generate CascadeBuf i:I o:O hierarchy -check -tee -a tmedges.tmp edgetypes +tee -o tmedges_unrenamed.tmp edgetypes diff --git a/icefuzz/tmedges_unrenamed.tmp b/icefuzz/tmedges_unrenamed.tmp new file mode 100644 index 0000000..c3e0a4f --- /dev/null +++ b/icefuzz/tmedges_unrenamed.tmp @@ -0,0 +1,268 @@ +CascadeMux.O LogicCell40.in2 +ClkMux.O DummyBuf.I +ClkMux.O SB_SPRAM256KA.CLOCK +DummyBuf.O Odrv4.I +DummyBuf.O SB_LEDDA_IP.LEDDADDR0 +DummyBuf.O SB_LEDDA_IP.LEDDADDR1 +DummyBuf.O SB_LEDDA_IP.LEDDADDR2 +DummyBuf.O SB_LEDDA_IP.LEDDADDR3 +DummyBuf.O SB_LEDDA_IP.LEDDCLK +DummyBuf.O SB_LEDDA_IP.LEDDCS +DummyBuf.O SB_LEDDA_IP.LEDDDAT0 +DummyBuf.O SB_LEDDA_IP.LEDDDAT1 +DummyBuf.O SB_LEDDA_IP.LEDDDAT2 +DummyBuf.O SB_LEDDA_IP.LEDDDAT3 +DummyBuf.O SB_LEDDA_IP.LEDDDAT4 +DummyBuf.O SB_LEDDA_IP.LEDDDAT5 +DummyBuf.O SB_LEDDA_IP.LEDDDAT6 +DummyBuf.O SB_LEDDA_IP.LEDDDAT7 +DummyBuf.O SB_LEDDA_IP.LEDDDEN +DummyBuf.O SB_LEDDA_IP.LEDDEXE +GND.Y LogicCell40.carryin +GND.Y LogicCell40.clk +GND.Y LogicCell40.in0 +GND.Y LogicCell40.in1 +GND.Y LogicCell40.in2 +GND.Y LogicCell40.in3 +GND.Y LogicCell40.sr +Glb2LocalMux.O LocalMux.I +GlobalMux.O ClkMux.I +GlobalMux.O Glb2LocalMux.I +ICE_CARRY_IN_MUX.carryinitout InMux.I +ICE_CARRY_IN_MUX.carryinitout LogicCell40.carryin +ICE_GB.GLOBALBUFFEROUTPUT gio2CtrlBuf.I +IO_PAD.DOUT PRE_IO.PADIN +IO_PAD.PACKAGEPIN IO_PAD.PACKAGEPIN +InMux.O CascadeMux.I +InMux.O DummyBuf.I +InMux.O LogicCell40.in0 +InMux.O LogicCell40.in1 +InMux.O LogicCell40.in3 +InMux.O SB_HFOSC.CLKHFEN +InMux.O SB_HFOSC.CLKHFPU +InMux.O SB_LFOSC.CLKLFEN +InMux.O SB_LFOSC.CLKLFPU +InMux.O SB_RGBA_DRV.CURREN +InMux.O SB_RGBA_DRV.RGB0PWM +InMux.O SB_RGBA_DRV.RGB1PWM +InMux.O SB_RGBA_DRV.RGB2PWM +InMux.O SB_RGBA_DRV.RGBLEDEN +InMux.O SB_SPRAM256KA.ADDRESS[0] +InMux.O SB_SPRAM256KA.ADDRESS[10] +InMux.O SB_SPRAM256KA.ADDRESS[11] +InMux.O SB_SPRAM256KA.ADDRESS[12] +InMux.O SB_SPRAM256KA.ADDRESS[13] +InMux.O SB_SPRAM256KA.ADDRESS[1] +InMux.O SB_SPRAM256KA.ADDRESS[2] +InMux.O SB_SPRAM256KA.ADDRESS[3] +InMux.O SB_SPRAM256KA.ADDRESS[4] +InMux.O SB_SPRAM256KA.ADDRESS[5] +InMux.O SB_SPRAM256KA.ADDRESS[6] +InMux.O SB_SPRAM256KA.ADDRESS[7] +InMux.O SB_SPRAM256KA.ADDRESS[8] +InMux.O SB_SPRAM256KA.ADDRESS[9] +InMux.O SB_SPRAM256KA.CHIPSELECT +InMux.O SB_SPRAM256KA.DATAIN[0] +InMux.O SB_SPRAM256KA.DATAIN[10] +InMux.O SB_SPRAM256KA.DATAIN[11] +InMux.O SB_SPRAM256KA.DATAIN[12] +InMux.O SB_SPRAM256KA.DATAIN[13] +InMux.O SB_SPRAM256KA.DATAIN[14] +InMux.O SB_SPRAM256KA.DATAIN[15] +InMux.O SB_SPRAM256KA.DATAIN[1] +InMux.O SB_SPRAM256KA.DATAIN[2] +InMux.O SB_SPRAM256KA.DATAIN[3] +InMux.O SB_SPRAM256KA.DATAIN[4] +InMux.O SB_SPRAM256KA.DATAIN[5] +InMux.O SB_SPRAM256KA.DATAIN[6] +InMux.O SB_SPRAM256KA.DATAIN[7] +InMux.O SB_SPRAM256KA.DATAIN[8] +InMux.O SB_SPRAM256KA.DATAIN[9] +InMux.O SB_SPRAM256KA.MASKWREN[0] +InMux.O SB_SPRAM256KA.MASKWREN[1] +InMux.O SB_SPRAM256KA.MASKWREN[2] +InMux.O SB_SPRAM256KA.MASKWREN[3] +InMux.O SB_SPRAM256KA.POWEROFF +InMux.O SB_SPRAM256KA.SLEEP +InMux.O SB_SPRAM256KA.STANDBY +InMux.O SB_SPRAM256KA.WREN +IoInMux.O ICE_GB.USERSIGNALTOGLOBALBUFFER +IoInMux.O PRE_IO.DOUT0 +IoSpan4Mux.O IoSpan4Mux.I +IoSpan4Mux.O LocalMux.I +IoSpan4Mux.O Span4Mux_h.I +IoSpan4Mux.O Span4Mux_s3_h.I +IoSpan4Mux.O Span4Mux_v.I +LocalMux.O ClkMux.I +LocalMux.O InMux.I +LocalMux.O IoInMux.I +LogicCell40.carryout ICE_CARRY_IN_MUX.carryinitin +LogicCell40.carryout InMux.I +LogicCell40.carryout LogicCell40.carryin +LogicCell40.lcout LocalMux.I +LogicCell40.lcout Odrv12.I +LogicCell40.lcout Odrv4.I +LogicCell40.ltout CascadeMux.I +Odrv12.O LocalMux.I +Odrv12.O Sp12to4.I +Odrv12.O Span12Mux_h.I +Odrv12.O Span12Mux_s0_h.I +Odrv12.O Span12Mux_s10_h.I +Odrv12.O Span12Mux_s10_v.I +Odrv12.O Span12Mux_s11_h.I +Odrv12.O Span12Mux_s11_v.I +Odrv12.O Span12Mux_s1_v.I +Odrv12.O Span12Mux_s2_v.I +Odrv12.O Span12Mux_s3_h.I +Odrv12.O Span12Mux_s3_v.I +Odrv12.O Span12Mux_s4_h.I +Odrv12.O Span12Mux_s4_v.I +Odrv12.O Span12Mux_s5_v.I +Odrv12.O Span12Mux_s6_h.I +Odrv12.O Span12Mux_s6_v.I +Odrv12.O Span12Mux_s7_h.I +Odrv12.O Span12Mux_s7_v.I +Odrv12.O Span12Mux_s8_h.I +Odrv12.O Span12Mux_s8_v.I +Odrv12.O Span12Mux_s9_h.I +Odrv12.O Span12Mux_s9_v.I +Odrv12.O Span12Mux_v.I +Odrv4.O IoSpan4Mux.I +Odrv4.O LocalMux.I +Odrv4.O Span4Mux_h.I +Odrv4.O Span4Mux_s0_v.I +Odrv4.O Span4Mux_s1_v.I +Odrv4.O Span4Mux_s2_h.I +Odrv4.O Span4Mux_s2_v.I +Odrv4.O Span4Mux_s3_h.I +Odrv4.O Span4Mux_s3_v.I +Odrv4.O Span4Mux_v.I +PRE_IO.DIN0 LocalMux.I +PRE_IO.DIN0 Odrv12.I +PRE_IO.DIN0 Odrv4.I +PRE_IO.PADOEN IO_PAD.OE +PRE_IO.PADOUT IO_PAD.DIN +SB_HFOSC.CLKHF GlobalMux.I +SB_LEDDA_IP.PWMOUT0 DummyBuf.I +SB_LFOSC.CLKLF GlobalMux.I +Sp12to4.O IoSpan4Mux.I +Sp12to4.O LocalMux.I +Sp12to4.O Span4Mux_h.I +Sp12to4.O Span4Mux_s0_v.I +Sp12to4.O Span4Mux_s1_h.I +Sp12to4.O Span4Mux_s2_h.I +Sp12to4.O Span4Mux_s2_v.I +Sp12to4.O Span4Mux_s3_h.I +Sp12to4.O Span4Mux_s3_v.I +Sp12to4.O Span4Mux_v.I +Span12Mux_h.O LocalMux.I +Span12Mux_h.O Sp12to4.I +Span12Mux_h.O Span12Mux_s10_v.I +Span12Mux_h.O Span12Mux_s11_v.I +Span12Mux_h.O Span12Mux_s1_v.I +Span12Mux_h.O Span12Mux_s2_h.I +Span12Mux_h.O Span12Mux_s3_h.I +Span12Mux_h.O Span12Mux_s3_v.I +Span12Mux_h.O Span12Mux_s6_v.I +Span12Mux_h.O Span12Mux_s7_h.I +Span12Mux_h.O Span12Mux_s7_v.I +Span12Mux_h.O Span12Mux_s8_v.I +Span12Mux_h.O Span12Mux_s9_v.I +Span12Mux_h.O Span12Mux_v.I +Span12Mux_s0_h.O Sp12to4.I +Span12Mux_s10_h.O LocalMux.I +Span12Mux_s10_h.O Sp12to4.I +Span12Mux_s10_v.O LocalMux.I +Span12Mux_s10_v.O Sp12to4.I +Span12Mux_s11_h.O LocalMux.I +Span12Mux_s11_h.O Sp12to4.I +Span12Mux_s11_v.O LocalMux.I +Span12Mux_s11_v.O Sp12to4.I +Span12Mux_s1_v.O LocalMux.I +Span12Mux_s1_v.O Span12Mux_v.I +Span12Mux_s2_h.O LocalMux.I +Span12Mux_s2_h.O Sp12to4.I +Span12Mux_s2_v.O LocalMux.I +Span12Mux_s2_v.O Sp12to4.I +Span12Mux_s3_h.O LocalMux.I +Span12Mux_s3_h.O Sp12to4.I +Span12Mux_s3_v.O LocalMux.I +Span12Mux_s3_v.O Sp12to4.I +Span12Mux_s4_h.O LocalMux.I +Span12Mux_s4_h.O Sp12to4.I +Span12Mux_s4_v.O LocalMux.I +Span12Mux_s5_h.O Sp12to4.I +Span12Mux_s5_v.O LocalMux.I +Span12Mux_s6_h.O LocalMux.I +Span12Mux_s6_h.O Sp12to4.I +Span12Mux_s6_v.O LocalMux.I +Span12Mux_s6_v.O Sp12to4.I +Span12Mux_s7_h.O LocalMux.I +Span12Mux_s7_h.O Sp12to4.I +Span12Mux_s7_v.O LocalMux.I +Span12Mux_s7_v.O Sp12to4.I +Span12Mux_s8_h.O LocalMux.I +Span12Mux_s8_h.O Sp12to4.I +Span12Mux_s8_v.O LocalMux.I +Span12Mux_s8_v.O Sp12to4.I +Span12Mux_s9_h.O LocalMux.I +Span12Mux_s9_h.O Sp12to4.I +Span12Mux_s9_v.O LocalMux.I +Span12Mux_s9_v.O Sp12to4.I +Span12Mux_v.O LocalMux.I +Span12Mux_v.O Sp12to4.I +Span12Mux_v.O Span12Mux_h.I +Span12Mux_v.O Span12Mux_s0_h.I +Span12Mux_v.O Span12Mux_s10_h.I +Span12Mux_v.O Span12Mux_s10_v.I +Span12Mux_v.O Span12Mux_s11_h.I +Span12Mux_v.O Span12Mux_s11_v.I +Span12Mux_v.O Span12Mux_s2_h.I +Span12Mux_v.O Span12Mux_s3_h.I +Span12Mux_v.O Span12Mux_s4_h.I +Span12Mux_v.O Span12Mux_s5_h.I +Span12Mux_v.O Span12Mux_s6_h.I +Span12Mux_v.O Span12Mux_s7_h.I +Span12Mux_v.O Span12Mux_s7_v.I +Span12Mux_v.O Span12Mux_s8_h.I +Span12Mux_v.O Span12Mux_s9_v.I +Span12Mux_v.O Span12Mux_v.I +Span4Mux_h.O LocalMux.I +Span4Mux_h.O Span4Mux_h.I +Span4Mux_h.O Span4Mux_s0_v.I +Span4Mux_h.O Span4Mux_s1_h.I +Span4Mux_h.O Span4Mux_s1_v.I +Span4Mux_h.O Span4Mux_s2_h.I +Span4Mux_h.O Span4Mux_s2_v.I +Span4Mux_h.O Span4Mux_s3_h.I +Span4Mux_h.O Span4Mux_s3_v.I +Span4Mux_h.O Span4Mux_v.I +Span4Mux_s0_v.O IoSpan4Mux.I +Span4Mux_s0_v.O LocalMux.I +Span4Mux_s0_v.O Span4Mux_v.I +Span4Mux_s1_h.O LocalMux.I +Span4Mux_s1_v.O IoSpan4Mux.I +Span4Mux_s1_v.O LocalMux.I +Span4Mux_s2_h.O LocalMux.I +Span4Mux_s2_h.O Span4Mux_v.I +Span4Mux_s2_v.O IoSpan4Mux.I +Span4Mux_s2_v.O LocalMux.I +Span4Mux_s2_v.O Span4Mux_h.I +Span4Mux_s2_v.O Span4Mux_v.I +Span4Mux_s3_h.O LocalMux.I +Span4Mux_s3_h.O Span4Mux_v.I +Span4Mux_s3_v.O IoSpan4Mux.I +Span4Mux_s3_v.O LocalMux.I +Span4Mux_s3_v.O Span4Mux_h.I +Span4Mux_s3_v.O Span4Mux_v.I +Span4Mux_v.O LocalMux.I +Span4Mux_v.O Span4Mux_h.I +Span4Mux_v.O Span4Mux_s0_v.I +Span4Mux_v.O Span4Mux_s1_h.I +Span4Mux_v.O Span4Mux_s1_v.I +Span4Mux_v.O Span4Mux_s2_h.I +Span4Mux_v.O Span4Mux_s2_v.I +Span4Mux_v.O Span4Mux_s3_h.I +Span4Mux_v.O Span4Mux_s3_v.I +Span4Mux_v.O Span4Mux_v.I +gio2CtrlBuf.O GlobalMux.I -- cgit v1.2.3 From 420ef041b6d633772a2abf9004f8da4af7962b77 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 22 Jan 2018 17:03:16 +0000 Subject: More DSP timing fuzzing, start adding new tiles to icetime --- icefuzz/timings_up5k.txt | 4606 ++++++++++++++++++++++++++++++++++------------ icefuzz/tmedges.txt | 1478 +++++++++++++++ icetime/icetime.cc | 16 +- 3 files changed, 4904 insertions(+), 1196 deletions(-) diff --git a/icefuzz/timings_up5k.txt b/icefuzz/timings_up5k.txt index 550074c..07e7a61 100644 --- a/icefuzz/timings_up5k.txt +++ b/icefuzz/timings_up5k.txt @@ -599,7 +599,7 @@ IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142. IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 -CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE +CELL SB_MAC16_ACC_U_16P16_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -617,7 +617,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -653,7 +653,6 @@ HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 @@ -692,7 +691,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -728,7 +727,6 @@ HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 @@ -742,16 +740,16 @@ HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 @@ -766,199 +764,225 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 -SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 +SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 +SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 +SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 +SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 +SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 +SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 +SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 +SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 +SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 +SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 +SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 +SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 +SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 +SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 +SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 +SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:B[0] posedge:CLK 1830.18:1830.18:1830.18 +SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 +SETUP negedge:B[2] posedge:CLK 1895.14:1895.14:1895.14 +SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 +SETUP negedge:B[4] posedge:CLK 1809.26:1809.26:1809.26 +SETUP negedge:B[5] posedge:CLK 1922.6:1922.6:1922.6 +SETUP negedge:B[6] posedge:CLK 1744.86:1744.86:1744.86 +SETUP negedge:B[7] posedge:CLK 1844.86:1844.86:1844.86 +SETUP negedge:B[8] posedge:CLK 1784.65:1784.65:1784.65 +SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 +SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 +SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 +SETUP negedge:B[12] posedge:CLK 1868.01:1868.01:1868.01 +SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 +SETUP negedge:B[14] posedge:CLK 1687.7:1687.7:1687.7 +SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 -SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 +SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 +SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 +SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[2] posedge:CLK 1683.33:1683.33:1683.33 +SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 +SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 +SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 +SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 +SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 +SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 +SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 +SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 +SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 +SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 +SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 +SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.02:1414.02:1414.02 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 +SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 +SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 +SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 +SETUP posedge:B[4] posedge:CLK 1925.27:1925.27:1925.27 +SETUP posedge:B[5] posedge:CLK 2023.7:2023.7:2023.7 +SETUP posedge:B[6] posedge:CLK 1828.33:1828.33:1828.33 +SETUP posedge:B[7] posedge:CLK 1944.23:1944.23:1944.23 +SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 +SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 +SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 +SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 +SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 +SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 +SETUP posedge:B[14] posedge:CLK 1728.21:1728.21:1728.21 +SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 -IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 -IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 -IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 -IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 -IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[0] ACCUMCO 1475.35:1475.35:1475.35 1934.41:1934.41:1934.41 +IOPATH A[0] CO 2047.76:2047.76:2047.76 2480.4:2480.4:2480.4 +IOPATH A[1] ACCUMCO 1714.57:1714.57:1714.57 1994.74:1994.74:1994.74 +IOPATH A[1] CO 2286.97:2286.97:2286.97 2540.73:2540.73:2540.73 +IOPATH A[2] ACCUMCO 1652.4:1652.4:1652.4 1867.19:1867.19:1867.19 +IOPATH A[2] CO 2224.81:2224.81:2224.81 2413.18:2413.18:2413.18 +IOPATH A[3] ACCUMCO 1565.21:1565.21:1565.21 1796.17:1796.17:1796.17 +IOPATH A[3] CO 2137.61:2137.61:2137.61 2342.15:2342.15:2342.15 +IOPATH A[4] ACCUMCO 1603.79:1603.79:1603.79 2005.48:2005.48:2005.48 +IOPATH A[4] CO 2176.19:2176.19:2176.19 2551.46:2551.46:2551.46 +IOPATH A[5] ACCUMCO 1541.89:1541.89:1541.89 1839.14:1839.14:1839.14 +IOPATH A[5] CO 2114.29:2114.29:2114.29 2385.13:2385.13:2385.13 +IOPATH A[6] ACCUMCO 1688.15:1688.15:1688.15 1939.64:1939.64:1939.64 +IOPATH A[6] CO 2260.55:2260.55:2260.55 2485.63:2485.63:2485.63 +IOPATH A[7] ACCUMCO 1614.5:1614.5:1614.5 1860.55:1860.55:1860.55 +IOPATH A[7] CO 2186.9:2186.9:2186.9 2406.54:2406.54:2406.54 +IOPATH A[8] ACCUMCO 1574.92:1574.92:1574.92 1835.69:1835.69:1835.69 +IOPATH A[8] CO 2147.33:2147.33:2147.33 2381.67:2381.67:2381.67 +IOPATH A[9] ACCUMCO 1699.8:1699.8:1699.8 1958.06:1958.06:1958.06 +IOPATH A[9] CO 2272.2:2272.2:2272.2 2504.04:2504.04:2504.04 +IOPATH A[10] ACCUMCO 1580.63:1580.63:1580.63 1733.85:1733.85:1733.85 +IOPATH A[10] CO 2153.03:2153.03:2153.03 2279.83:2279.83:2279.83 +IOPATH A[11] ACCUMCO 1431.09:1431.09:1431.09 1593.46:1593.46:1593.46 +IOPATH A[11] CO 2003.49:2003.49:2003.49 2139.45:2139.45:2139.45 +IOPATH A[12] ACCUMCO 1295.31:1295.31:1295.31 1626.47:1626.47:1626.47 +IOPATH A[12] CO 1867.71:1867.71:1867.71 2172.46:2172.46:2172.46 +IOPATH A[13] ACCUMCO 1379.45:1379.45:1379.45 1661.08:1661.08:1661.08 +IOPATH A[13] CO 1951.85:1951.85:1951.85 2207.06:2207.06:2207.06 +IOPATH A[14] ACCUMCO 1429.38:1429.38:1429.38 1649.43:1649.43:1649.43 +IOPATH A[14] CO 2001.79:2001.79:2001.79 2195.42:2195.42:2195.42 +IOPATH A[15] ACCUMCO 1508.68:1508.68:1508.68 1808.14:1808.14:1808.14 +IOPATH A[15] CO 2081.09:2081.09:2081.09 2354.13:2354.13:2354.13 +IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2021.43:2021.43:2021.43 2326.07:2326.07:2326.07 +IOPATH posedge:CLK CO 2593.83:2593.83:2593.83 2872.06:2872.06:2872.06 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE +CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -975,7 +999,7 @@ HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 @@ -1012,6 +1036,7 @@ HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 @@ -1049,7 +1074,7 @@ HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 @@ -1086,6 +1111,7 @@ HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 @@ -1139,8 +1165,8 @@ SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -1176,6 +1202,7 @@ SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 @@ -1196,7 +1223,7 @@ SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -1213,8 +1240,8 @@ SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 +SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -1250,6 +1277,7 @@ SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 @@ -1271,162 +1299,940 @@ SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 +IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 +IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 +IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 +IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 +IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 -CELL SB_MAC16_ADS_U_16P16_BYPASS -IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 -IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 -IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 -IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 -IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 -IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 -IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 -IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 -IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 -IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 -IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 -IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 -IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 -IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 -IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 -IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 -IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 -IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 -IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 -IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 -IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 -IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 -IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 -IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 -IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 -IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 -IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 -IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 -IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 -IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 -IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 -IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 -IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 -IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 -IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 -IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 -IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 -IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 -IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 -IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 -IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 -IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 -IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 -IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 -IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 -IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 -IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 -IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 -IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 -IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 -IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 -IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 -IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 -IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 -IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 -IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 -IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 -IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 -IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 -IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 -IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 -IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 -IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 -IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 -IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 -IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 -IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 -IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 -IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 -IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 -IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 -IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 -IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 -IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 -IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 -IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 -IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 -IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 -IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 -IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 -IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 -IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 -IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 -IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 -IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 -IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 -IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 -IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 -IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 -IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 -IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 -IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 -IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 -IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 -IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 -IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 -IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 -IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 -IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 -IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 -IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 -IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 -IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 -IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 -IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 -IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 -IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 -IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 -IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 -IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 -IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 -IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 -IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 -IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 -IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 -IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 -IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 +CELL SB_MAC16_ACC_U_32P32_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -182.352:-182.352:-182.352 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -178.334:-178.334:-178.334 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 +SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 +SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 +SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 +SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 +SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 +SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 +SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 +SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 +SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 +SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 +SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 +SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 +SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 +SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 +SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 +SETUP negedge:ADDSUBBOT posedge:CLK 1951.91:1951.91:1951.91 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.27:1386.27:1386.27 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 1971.76:1971.76:1971.76 +SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 +SETUP negedge:B[2] posedge:CLK 1931.07:1931.07:1931.07 +SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 +SETUP negedge:B[4] posedge:CLK 2000.11:2000.11:2000.11 +SETUP negedge:B[5] posedge:CLK 1977.32:1977.32:1977.32 +SETUP negedge:B[6] posedge:CLK 1940.61:1940.61:1940.61 +SETUP negedge:B[7] posedge:CLK 1902.5:1902.5:1902.5 +SETUP negedge:B[8] posedge:CLK 1856.62:1856.62:1856.62 +SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 +SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 +SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 +SETUP negedge:B[12] posedge:CLK 1975.68:1975.68:1975.68 +SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 +SETUP negedge:B[14] posedge:CLK 1817.14:1817.14:1817.14 +SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1273.34:1273.34:1273.34 +SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 +SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 +SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 +SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[2] posedge:CLK 1683.35:1683.35:1683.35 +SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 +SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 +SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 +SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 +SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 +SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 +SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 +SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 +SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 +SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 +SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 +SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 +SETUP posedge:ADDSUBBOT posedge:CLK 1994.11:1994.11:1994.11 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.02:1424.02:1424.02 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 +SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 +SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 +SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 +SETUP posedge:B[4] posedge:CLK 1960:1960:1960 +SETUP posedge:B[5] posedge:CLK 2035.05:2035.05:2035.05 +SETUP posedge:B[6] posedge:CLK 1992.81:1992.81:1992.81 +SETUP posedge:B[7] posedge:CLK 1946.79:1946.79:1946.79 +SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 +SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 +SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 +SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 +SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 +SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 +SETUP posedge:B[14] posedge:CLK 1829.22:1829.22:1829.22 +SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1188.68:1188.68:1188.68 +SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[0] ACCUMCO 1481.77:1481.77:1481.77 1938.69:1938.69:1938.69 +IOPATH A[0] CO 2054.17:2054.17:2054.17 2484.67:2484.67:2484.67 +IOPATH A[1] ACCUMCO 1720.71:1720.71:1720.71 1998.85:1998.85:1998.85 +IOPATH A[1] CO 2293.11:2293.11:2293.11 2544.84:2544.84:2544.84 +IOPATH A[2] ACCUMCO 1658.54:1658.54:1658.54 1871.3:1871.3:1871.3 +IOPATH A[2] CO 2230.95:2230.95:2230.95 2417.28:2417.28:2417.28 +IOPATH A[3] ACCUMCO 1571.35:1571.35:1571.35 1800.27:1800.27:1800.27 +IOPATH A[3] CO 2143.75:2143.75:2143.75 2346.26:2346.26:2346.26 +IOPATH A[4] ACCUMCO 1608.77:1608.77:1608.77 2005.48:2005.48:2005.48 +IOPATH A[4] CO 2181.17:2181.17:2181.17 2551.46:2551.46:2551.46 +IOPATH A[5] ACCUMCO 1541.99:1541.99:1541.99 1839.14:1839.14:1839.14 +IOPATH A[5] CO 2114.39:2114.39:2114.39 2385.13:2385.13:2385.13 +IOPATH A[6] ACCUMCO 1688.25:1688.25:1688.25 1939.64:1939.64:1939.64 +IOPATH A[6] CO 2260.65:2260.65:2260.65 2485.63:2485.63:2485.63 +IOPATH A[7] ACCUMCO 1619.47:1619.47:1619.47 1860.55:1860.55:1860.55 +IOPATH A[7] CO 2191.88:2191.88:2191.88 2406.54:2406.54:2406.54 +IOPATH A[8] ACCUMCO 1575.02:1575.02:1575.02 1835.69:1835.69:1835.69 +IOPATH A[8] CO 2147.43:2147.43:2147.43 2381.67:2381.67:2381.67 +IOPATH A[9] ACCUMCO 1699.9:1699.9:1699.9 1958.06:1958.06:1958.06 +IOPATH A[9] CO 2272.3:2272.3:2272.3 2504.04:2504.04:2504.04 +IOPATH A[10] ACCUMCO 1580.73:1580.73:1580.73 1733.85:1733.85:1733.85 +IOPATH A[10] CO 2153.13:2153.13:2153.13 2279.83:2279.83:2279.83 +IOPATH A[11] ACCUMCO 1431.19:1431.19:1431.19 1593.46:1593.46:1593.46 +IOPATH A[11] CO 2003.6:2003.6:2003.6 2139.45:2139.45:2139.45 +IOPATH A[12] ACCUMCO 1429.52:1429.52:1429.52 1626.47:1626.47:1626.47 +IOPATH A[12] CO 2001.92:2001.92:2001.92 2172.46:2172.46:2172.46 +IOPATH A[13] ACCUMCO 1491.26:1491.26:1491.26 1661.08:1661.08:1661.08 +IOPATH A[13] CO 2063.66:2063.66:2063.66 2207.06:2207.06:2207.06 +IOPATH A[14] ACCUMCO 1561.46:1561.46:1561.46 1649.43:1649.43:1649.43 +IOPATH A[14] CO 2133.86:2133.86:2133.86 2195.42:2195.42:2195.42 +IOPATH A[15] ACCUMCO 1643.64:1643.64:1643.64 1808.14:1808.14:1808.14 +IOPATH A[15] CO 2216.04:2216.04:2216.04 2354.13:2354.13:2354.13 +IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 +IOPATH ADDSUBBOT ACCUMCO 2253.92:2253.92:2253.92 2498.2:2498.2:2498.2 +IOPATH ADDSUBBOT CO 2826.33:2826.33:2826.33 3044.19:3044.19:3044.19 +IOPATH ADDSUBTOP ACCUMCO 1607.41:1607.41:1607.41 1894.98:1894.98:1894.98 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] ACCUMCO 2194.56:2194.56:2194.56 2460.21:2460.21:2460.21 +IOPATH B[0] CO 2766.96:2766.96:2766.96 3006.2:3006.2:3006.2 +IOPATH B[1] ACCUMCO 2259.17:2259.17:2259.17 2520.34:2520.34:2520.34 +IOPATH B[1] CO 2831.58:2831.58:2831.58 3066.33:3066.33:3066.33 +IOPATH B[2] ACCUMCO 2258.15:2258.15:2258.15 2486.01:2486.01:2486.01 +IOPATH B[2] CO 2830.56:2830.56:2830.56 3032:3032:3032 +IOPATH B[3] ACCUMCO 2131.59:2131.59:2131.59 2371.61:2371.61:2371.61 +IOPATH B[3] CO 2704:2704:2704 2917.59:2917.59:2917.59 +IOPATH B[4] ACCUMCO 2256.15:2256.15:2256.15 2508.41:2508.41:2508.41 +IOPATH B[4] CO 2828.55:2828.55:2828.55 3054.4:3054.4:3054.4 +IOPATH B[5] ACCUMCO 2253.09:2253.09:2253.09 2567.81:2567.81:2567.81 +IOPATH B[5] CO 2825.49:2825.49:2825.49 3113.8:3113.8:3113.8 +IOPATH B[6] ACCUMCO 2225.34:2225.34:2225.34 2496:2496:2496 +IOPATH B[6] CO 2797.75:2797.75:2797.75 3041.99:3041.99:3041.99 +IOPATH B[7] ACCUMCO 2174.43:2174.43:2174.43 2417.76:2417.76:2417.76 +IOPATH B[7] CO 2746.84:2746.84:2746.84 2963.74:2963.74:2963.74 +IOPATH B[8] ACCUMCO 2093.22:2093.22:2093.22 2264.47:2264.47:2264.47 +IOPATH B[8] CO 2665.63:2665.63:2665.63 2810.46:2810.46:2810.46 +IOPATH B[9] ACCUMCO 2194.25:2194.25:2194.25 2316.12:2316.12:2316.12 +IOPATH B[9] CO 2766.65:2766.65:2766.65 2862.11:2862.11:2862.11 +IOPATH B[10] ACCUMCO 2145.64:2145.64:2145.64 2269.49:2269.49:2269.49 +IOPATH B[10] CO 2718.05:2718.05:2718.05 2815.48:2815.48:2815.48 +IOPATH B[11] ACCUMCO 2247.87:2247.87:2247.87 2337.51:2337.51:2337.51 +IOPATH B[11] CO 2820.27:2820.27:2820.27 2883.49:2883.49:2883.49 +IOPATH B[12] ACCUMCO 2275.08:2275.08:2275.08 2466.88:2466.88:2466.88 +IOPATH B[12] CO 2847.48:2847.48:2847.48 3012.87:3012.87:3012.87 +IOPATH B[13] ACCUMCO 2155.04:2155.04:2155.04 2345.71:2345.71:2345.71 +IOPATH B[13] CO 2727.44:2727.44:2727.44 2891.7:2891.7:2891.7 +IOPATH B[14] ACCUMCO 2114.35:2114.35:2114.35 2217.9:2217.9:2217.9 +IOPATH B[14] CO 2686.76:2686.76:2686.76 2763.89:2763.89:2763.89 +IOPATH B[15] ACCUMCO 2339.95:2339.95:2339.95 2480.28:2480.28:2480.28 +IOPATH B[15] CO 2912.35:2912.35:2912.35 3026.27:3026.27:3026.27 +IOPATH CI ACCUMCO 1202.55:1202.55:1202.55 1272.89:1272.89:1272.89 +IOPATH CI CO 1774.95:1774.95:1774.95 1818.88:1818.88:1818.88 +IOPATH posedge:CLK ACCUMCO 2626.57:2626.57:2626.57 2846.62:2846.62:2846.62 +IOPATH posedge:CLK CO 3198.97:3198.97:3198.97 3392.61:3392.61:3392.61 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + +CELL SB_MAC16_ADS_U_16P16_BYPASS +IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 +IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 +IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 +IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 +IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 +IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 +IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 +IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 +IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 +IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 +IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 +IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 +IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 +IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 +IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 +IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 +IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 +IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 +IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 +IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 +IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 +IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 +IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 +IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 +IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 +IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 +IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 +IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 +IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 +IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 +IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 +IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 +IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 +IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 +IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 +IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 +IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 +IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 +IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 +IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 +IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 +IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 +IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 +IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 +IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 +IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 +IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 +IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 +IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 +IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 +IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 +IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 +IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 +IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 +IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 +IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 +IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 +IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 +IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 +IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 +IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 +IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 +IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 +IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 +IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 +IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 +IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 +IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 +IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 +IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 +IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 +IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 +IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 +IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 +IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 +IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 +IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 +IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 +IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 +IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 +IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 +IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 +IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 +IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 +IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 +IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 +IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 +IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 +IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 +IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 +IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 +IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 +IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 +IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 +IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 +IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 +IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 +IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 +IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 +IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 +IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 +IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 +IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 +IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 +IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 +IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 +IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 +IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 +IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 +IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 +IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 +IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 +IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 +IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 +IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 +IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 +IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 IOPATH A[8] CO 2138.62:2138.62:2138.62 2366.85:2366.85:2366.85 IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 @@ -1986,6 +2792,365 @@ IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 +CELL SB_MAC16_ADS_U_32P32_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 +IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 +IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 +IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 +IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 +IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + CELL SB_MAC16_ADS_U_32P32_BYPASS IOPATH A[0] ACCUMCO 1473.75:1473.75:1473.75 1927.31:1927.31:1927.31 IOPATH A[0] CO 2046.16:2046.16:2046.16 2473.3:2473.3:2473.3 @@ -3291,7 +4456,1109 @@ IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 -CELL SB_MAC16_MAC_S_16X16_IM_BYPASS +CELL SB_MAC16_MAC_S_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 + +CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 +IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 + +CELL SB_MAC16_MAC_U_8X8_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 +SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 +SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 +SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 +SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 +SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 +SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 +SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 +SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 +SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 +SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 +SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 +SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 +SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 +SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 +SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 +SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 +SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 +SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 +SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 +SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 +SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 +SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 +SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 +SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 +SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 +SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 +SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 +SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 +SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 +SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 +SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 +SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 +SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 +SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 +SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 +SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 +SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 +SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 +SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 +SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 +SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 +SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 +SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 +SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 +SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 +SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 +SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 +SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 +SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 +SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 +SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 +SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 +SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 +SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 +SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 +SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 +SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 +SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 +SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 +SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 +SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 +SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 +IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 +IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 +IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 +IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 +IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 +IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 +IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 +IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 +IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 +IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 +IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 +IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 +IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 +IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 +IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 +IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 +IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 +IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 +IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 +IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 +IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 +IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 +IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 +IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 +IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 +IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 +IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 +IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 +IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 +IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 +IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 +IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 +IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 +IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 +IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 +IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 +IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 +IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 +IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 +IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 +IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 +IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 +IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 +IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 +IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 +IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 +IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 +IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 +IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_MAC_U_8X8_IM_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -3309,25 +5576,25 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 @@ -3365,7 +5632,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -3383,23 +5650,23 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 @@ -3439,9 +5706,9 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 @@ -3472,15 +5739,15 @@ SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 @@ -3489,7 +5756,7 @@ SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 @@ -3528,8 +5795,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -3546,24 +5813,24 @@ SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 @@ -3603,20 +5870,18 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 5638.08:5638.08:5638.08 5851.21:5851.21:5851.21 +IOPATH posedge:CLK CO 6210.49:6210.49:6210.49 6397.2:6397.2:6397.2 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -3624,27 +5889,27 @@ IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222. IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[19] 1382.29:1382.29:1382.29 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +IOPATH posedge:CLK SIGNEXTOUT 4925.14:4925.14:4925.14 5062.08:5062.08:5062.08 -CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -3662,7 +5927,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -3718,7 +5983,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -3736,7 +6001,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -3792,7 +6057,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -3825,8 +6090,8 @@ SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -3881,8 +6146,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -3899,8 +6164,8 @@ SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -3956,18 +6221,20 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 -IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -3978,24 +6245,24 @@ IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267. IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_8X8_BYPASS +CELL SB_MAC16_MAC_U_16X16_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4013,7 +6280,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -4069,7 +6336,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -4087,7 +6354,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 +HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -4143,7 +6410,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 +HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -4160,46 +6427,46 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 -SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 -SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 -SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 -SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 -SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 -SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 -SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 -SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 -SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 -SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 -SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 -SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 -SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 -SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 -SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 +SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 +SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 +SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 +SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 +SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 +SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 +SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 +SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 +SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 +SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 +SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 +SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 +SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 +SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 +SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 +SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 -SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 -SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 -SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 -SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 -SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 -SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 -SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 -SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 -SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 -SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 -SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 -SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 -SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 -SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 -SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 +SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 +SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 +SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 +SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 +SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 +SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 +SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 +SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 +SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 +SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 +SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 +SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 +SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 +SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 +SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 +SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 -SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 +SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 +SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 @@ -4207,99 +6474,99 @@ SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 +SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 -SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 -SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 -SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 -SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 -SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 -SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 +SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 +SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 +SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 +SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 +SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 +SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 -SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 -SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 -SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 -SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 -SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 -SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 -SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 -SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 -SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 -SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 -SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 -SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 -SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 -SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 -SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 -SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 -SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 +SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 +SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 +SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 +SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 +SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 +SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 +SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 +SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 +SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 +SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 +SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 +SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 +SETUP posedge:A[10] posedge:CLK 3932:3932:3932 +SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 +SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 +SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 +SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 +SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 -SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 -SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 -SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 -SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 -SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 -SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 -SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 -SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 -SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 -SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 -SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 -SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 -SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 -SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 -SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 +SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 +SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 +SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 +SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 +SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 +SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 +SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 +SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 +SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 +SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 +SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 +SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 +SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 +SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 +SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 +SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 -SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 -SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 +SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 +SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 +SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 +SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 -SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 -SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 -SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 -SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 -SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 -SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 +SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 +SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 +SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 +SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 +SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 +SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 @@ -4307,66 +6574,116 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 -IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 -IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 -IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 -IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 -IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 -IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 -IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 -IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 -IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 -IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 -IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 -IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 -IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 -IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 -IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 -IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 -IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 -IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 -IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 -IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 -IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 -IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 -IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 -IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 -IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 -IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 -IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 -IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 -IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 -IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 -IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 -IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 -IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 -IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 -IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 -IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 -IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 -IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 -IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 -IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 -IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 -IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 -IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 -IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 -IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 -IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 -IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 -IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 -IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 -IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 +SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 +IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 +IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 +IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 +IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 +IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 +IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 +IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 +IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 +IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 +IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 +IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 +IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 +IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 +IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 +IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 +IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 +IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 +IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 +IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 +IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 +IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 +IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 +IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 +IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 +IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 +IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 +IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 +IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 +IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 +IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 +IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 +IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 +IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 +IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 +IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 +IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 +IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 +IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 +IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 +IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 +IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 +IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 +IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 +IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 +IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 +IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 +IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 +IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 +IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 +IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 +IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 +IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 +IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 +IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 +IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 +IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 +IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 +IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 +IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 +IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 +IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 +IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 +IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 +IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 +IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 +IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 +IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 +IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 +IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 +IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 +IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 +IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 +IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 +IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 +IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 +IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 +IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 +IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 +IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 +IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 +IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 +IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 +IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 +IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 +IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 +IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 +IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 +IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 +IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 +IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 +IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 +IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 +IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 +IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 +IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 +IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 +IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 @@ -4377,23 +6694,23 @@ IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267. IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4746,7 +7063,7 @@ IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142. IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_16X16_BYPASS +CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4764,25 +7081,25 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 @@ -4809,7 +7126,7 @@ HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 @@ -4817,7 +7134,7 @@ HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 @@ -4838,23 +7155,23 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 @@ -4883,318 +7200,223 @@ HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 -RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 -RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 -RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 -RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 -REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 -REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 -REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 -REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 -REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 -REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 -REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 -REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 -SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 -SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 -SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 -SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 -SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 -SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 -SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 -SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 -SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 -SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 -SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 -SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 -SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 -SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 -SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 -SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 -SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 -SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 -SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 -SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 -SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 -SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 -SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 -SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 -SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 -SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 -SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 -SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 -SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 -SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 -SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 -SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 -SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 -SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 -SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 -SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 -SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 -SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 -SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 -SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 -SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 -SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 -SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 -SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 -SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 -SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 -SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 -SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 -SETUP posedge:A[10] posedge:CLK 3932:3932:3932 -SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 -SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 -SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 -SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 -SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 -SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 -SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 -SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 -SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 -SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 -SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 -SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 -SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 -SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 -SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 -SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 -SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 -SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 -SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 -SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 -SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 -SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 -SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 -SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 -SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 -SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 -SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 -SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 -IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 -IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 -IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 -IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 -IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 -IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 -IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 -IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 -IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 -IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 -IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 -IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 -IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 -IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 -IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 -IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 -IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 -IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 -IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 -IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 -IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 -IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 -IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 -IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 -IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 -IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 -IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 -IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 -IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 -IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 -IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 -IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 -IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 -IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 -IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 -IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 -IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 -IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 -IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 -IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 -IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 -IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 -IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 -IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 -IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 -IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 -IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 -IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 -IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 -IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 -IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 -IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 -IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 -IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 -IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 -IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 -IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 -IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 -IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 -IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 -IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 -IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 -IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 -IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 -IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 -IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 -IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 -IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 -IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 -IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 -IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 -IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 -IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 -IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 -IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 -IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 -IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 -IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 -IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 -IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 -IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 -IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 -IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 -IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 -IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 -IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 -IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 -IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 -IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 -IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 -IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 -IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 -IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 -IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 -IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 -IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 -IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_IM_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -5214,7 +7436,7 @@ HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 @@ -5257,7 +7479,7 @@ HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 @@ -5265,7 +7487,7 @@ HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 @@ -5288,7 +7510,7 @@ HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 @@ -5331,7 +7553,7 @@ HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 @@ -5339,7 +7561,7 @@ HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 @@ -5364,37 +7586,37 @@ SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 @@ -5421,7 +7643,7 @@ SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 @@ -5429,7 +7651,7 @@ SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 @@ -5438,37 +7660,37 @@ SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 @@ -5495,7 +7717,7 @@ SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 @@ -5503,16 +7725,16 @@ SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 @@ -5523,31 +7745,31 @@ IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216. IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 -CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE +CELL SB_MAC16_MAS_U_8X8_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -5564,8 +7786,8 @@ HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -5621,7 +7843,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -5638,8 +7860,8 @@ HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -5695,7 +7917,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -5728,8 +7950,8 @@ SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -5784,8 +8006,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.309:278.309:278.309 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -5802,8 +8024,8 @@ SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -5859,20 +8081,18 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 +IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -5883,32 +8103,32 @@ IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267. IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 -CELL SB_MAC16_MAC_U_16X16_IM_BYPASS +CELL SB_MAC16_MUL_S_8X8_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 @@ -5918,31 +8138,31 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 @@ -5956,33 +8176,33 @@ HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 @@ -5992,31 +8212,31 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 @@ -6030,29 +8250,29 @@ HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -6065,193 +8285,191 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 +SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 +SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 +SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 +SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 +IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 +IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 +IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 +IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 +IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 +IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 +IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 +IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 +IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 +IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 +IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 +IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 +IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 +IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 +IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 +IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 +IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 +IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 +IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 +IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 +IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 +IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 +IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 +IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 +IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 +IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 +IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 +IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 +IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 +IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 +IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 +IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 +IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 CELL SB_MAC16_MUL_S_8X8_BYPASS IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 diff --git a/icefuzz/tmedges.txt b/icefuzz/tmedges.txt index 3430881..cb4bdba 100644 --- a/icefuzz/tmedges.txt +++ b/icefuzz/tmedges.txt @@ -1,9 +1,12 @@ CEMux.O LogicCell40.ce CEMux.O PRE_IO.CLOCKENABLE CEMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CE +CEMux.O SB_MAC16_ACC_U_16P16_BYPASS.CE CEMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CE +CEMux.O SB_MAC16_ACC_U_32P32_BYPASS.CE CEMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CE CEMux.O SB_MAC16_ADS_U_16P16_BYPASS.CE +CEMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CE CEMux.O SB_MAC16_ADS_U_32P32_BYPASS.CE CEMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CE CEMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CE @@ -13,9 +16,12 @@ CEMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CE CEMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CE CEMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CE CEMux.O SB_MAC16_MAC_U_8X8_BYPASS.CE +CEMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.CE +CEMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_S_16X16_BYPASS.CE CEMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CE +CEMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_S_8X8_BYPASS.CE CEMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_U_16X16_BYPASS.CE @@ -54,22 +60,32 @@ ClkMux.O LogicCell40.clk ClkMux.O PRE_IO.INPUTCLK ClkMux.O PRE_IO.OUTPUTCLK ClkMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ACC_U_16P16_BYPASS.CLK ClkMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ACC_U_32P32_BYPASS.CLK ClkMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_ADS_U_16P16_BYPASS.CLK +ClkMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_ADS_U_32P32_BYPASS.CLK ClkMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.CLK ClkMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MAC_U_16X16_BYPASS.CLK ClkMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.CLK ClkMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MAC_U_8X8_BYPASS.CLK +ClkMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.CLK +ClkMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_S_16X16_BYPASS.CLK ClkMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_S_8X8_BYPASS.CLK ClkMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_U_16X16_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CLK +ClkMux.O SB_MAC16_MUL_U_8X8_BYPASS.CLK ClkMux.O SB_RAM40_4K.RCLK ClkMux.O SB_RAM40_4K.WCLK ClkMux.O SB_SPRAM256KA.CLOCK @@ -101,9 +117,12 @@ GND.Y LogicCell40.in3 GND.Y LogicCell40.sr GND.Y PRE_IO.DOUT0 GND.Y SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CLK +GND.Y SB_MAC16_ACC_U_16P16_BYPASS.CLK GND.Y SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CLK +GND.Y SB_MAC16_ACC_U_32P32_BYPASS.CLK GND.Y SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CLK GND.Y SB_MAC16_ADS_U_16P16_BYPASS.CLK +GND.Y SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CLK GND.Y SB_MAC16_ADS_U_32P32_BYPASS.CLK GND.Y SB_MAC16_MAC_S_16X16_IM_BYPASS.CLK GND.Y SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CLK @@ -113,9 +132,12 @@ GND.Y SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CLK GND.Y SB_MAC16_MAC_U_16X16_IM_BYPASS.CLK GND.Y SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CLK GND.Y SB_MAC16_MAC_U_8X8_BYPASS.CLK +GND.Y SB_MAC16_MAC_U_8X8_IM_BYPASS.CLK +GND.Y SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_S_16X16_BYPASS.CLK GND.Y SB_MAC16_MUL_S_16X16_IM_BYPASS.CLK +GND.Y SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_S_8X8_BYPASS.CLK GND.Y SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_U_16X16_BYPASS.CLK @@ -221,6 +243,74 @@ InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.AHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[10] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[12] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[13] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[14] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[15] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[1] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[2] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[3] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[4] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[5] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[6] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[7] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[8] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[9] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.BHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[10] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[11] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[12] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[13] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[14] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[15] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[1] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[2] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[3] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[4] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[5] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[6] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[7] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[8] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[9] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.CHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.CI +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[0] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[10] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[11] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[13] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[14] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[15] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[1] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[2] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[3] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[4] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[5] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[6] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[7] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[8] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[9] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.DHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[10] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[11] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[12] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[14] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[15] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[1] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[3] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[4] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[5] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[6] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[7] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[8] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[9] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.OHOLDTOP +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.OLOADBOT +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.OLOADTOP InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.AHOLD @@ -243,6 +333,7 @@ InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.A[9] InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[0] InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[11] InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[12] InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[13] InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.B[14] @@ -295,6 +386,66 @@ InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[10] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[12] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[14] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[15] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[1] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[2] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[3] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[5] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[7] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.BHOLD +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[0] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[10] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[11] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[12] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[13] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[14] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[15] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[1] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[2] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[3] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[6] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[7] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[9] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.CHOLD +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[0] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[10] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[11] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[12] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[13] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[1] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[2] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[3] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[6] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[7] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.DHOLD +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[0] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[10] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[11] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[12] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[13] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[15] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[1] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[2] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[3] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[5] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[7] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[9] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OHOLDBOT +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OHOLDTOP +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OLOADTOP InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.AHOLD @@ -304,13 +455,17 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[12] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[13] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[15] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[1] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[2] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[4] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[5] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[6] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[8] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[0] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[10] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[11] @@ -329,6 +484,7 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[9] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CHOLD InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[11] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[12] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[13] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[14] @@ -343,6 +499,7 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[7] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[8] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[9] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[0] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[10] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[11] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[12] @@ -361,11 +518,14 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_ADS_U_16P16_BYPASS.AHOLD InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[0] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[10] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[11] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[12] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[13] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[14] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[15] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[1] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[2] @@ -373,24 +533,36 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[3] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[4] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[5] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[6] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[7] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[8] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.A[9] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.BHOLD InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[0] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[10] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[11] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[12] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[13] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[14] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[15] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[2] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[3] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[4] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[5] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[6] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[7] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[8] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[9] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.CHOLD +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.CI +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[0] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[10] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[11] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[12] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[13] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[14] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[15] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[1] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[2] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[3] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[4] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[5] @@ -400,9 +572,13 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[8] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.C[9] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.DHOLD InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[0] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[10] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[11] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[12] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[13] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[14] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[1] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[2] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[3] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[4] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[5] @@ -414,44 +590,138 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDTOP InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADTOP +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CI +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_ADS_U_32P32_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.AHOLD InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[10] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[11] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[12] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[14] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[1] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[2] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[3] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[4] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[5] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[6] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[7] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[8] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[9] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.BHOLD +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[0] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[10] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[11] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[12] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[15] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[1] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[2] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[3] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[4] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[5] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[6] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[7] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[8] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[9] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.CHOLD +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.CI +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[10] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[11] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[12] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[15] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[1] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[2] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[3] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[4] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[5] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[6] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[7] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[8] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[9] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.DHOLD InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[0] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[10] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[11] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[14] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[15] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[1] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[2] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[3] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[4] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[5] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[6] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[7] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[8] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[9] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OHOLDBOT +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OHOLDTOP InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OLOADBOT InMux.O SB_MAC16_ADS_U_32P32_BYPASS.OLOADTOP InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ADDSUBBOT @@ -468,17 +738,21 @@ InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[1] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[2] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[3] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[5] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[6] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[7] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[8] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.A[9] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[0] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[10] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[11] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[12] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[13] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[14] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[15] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[2] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[3] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[4] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.B[5] @@ -499,6 +773,7 @@ InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[1] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[2] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[3] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[4] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[5] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[6] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[7] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.C[8] @@ -507,6 +782,7 @@ InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.DHOLD InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[0] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[10] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[11] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[12] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[13] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[14] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[15] @@ -515,60 +791,98 @@ InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[2] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[3] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[4] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[6] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[8] +InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.D[9] InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OHOLDBOT InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OHOLDTOP InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OLOADBOT InMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[0] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[15] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[2] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[4] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[8] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[11] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[1] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[2] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[6] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[8] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CI InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[10] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[13] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[14] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[15] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[3] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[4] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[5] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[6] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[7] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.C[9] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.DHOLD InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[0] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[10] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[14] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[15] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[4] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[5] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[6] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[7] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.D[9] InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MAC_U_16X16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MAC_U_16X16_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[0] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[11] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[15] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[1] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[2] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[4] @@ -581,17 +895,21 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.BHOLD InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[0] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[13] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[14] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[15] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[1] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[4] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[7] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[8] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[9] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.CHOLD InMux.O SB_MAC16_MAC_U_16X16_BYPASS.CI InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[0] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[11] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[13] @@ -605,18 +923,25 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[6] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[7] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[9] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[0] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[13] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[14] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[15] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[1] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[2] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[3] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[4] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[6] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[8] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[9] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OHOLDBOT InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OLOADTOP InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[10] @@ -625,23 +950,30 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[4] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[8] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[10] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[11] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[15] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[2] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[7] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[8] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[9] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CI InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[10] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[11] @@ -650,6 +982,7 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[13] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[15] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[2] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[4] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[7] @@ -663,10 +996,12 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[12] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[15] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[3] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[4] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OLOADTOP @@ -674,6 +1009,7 @@ InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[11] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[14] @@ -684,6 +1020,8 @@ InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[4] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[8] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[0] @@ -695,6 +1033,9 @@ InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[15] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[1] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[5] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[6] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[7] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.B[8] @@ -747,13 +1088,16 @@ InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[13] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[14] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[15] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[1] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[2] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[3] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[4] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[5] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[6] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[7] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[8] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[9] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[0] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[10] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[11] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.B[12] @@ -776,14 +1120,17 @@ InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[10] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[11] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[12] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[13] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[14] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[15] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[1] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[2] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[3] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[4] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[5] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[6] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[7] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.C[9] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.DHOLD InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[0] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[10] @@ -791,6 +1138,8 @@ InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[11] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[12] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[13] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[15] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[1] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[2] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[3] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[4] @@ -798,6 +1147,8 @@ InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[5] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[6] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[7] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[8] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.D[9] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OHOLDBOT InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OHOLDTOP InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OLOADBOT InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.OLOADTOP @@ -819,6 +1170,7 @@ InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[7] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.B[10] @@ -844,6 +1196,7 @@ InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[11] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[12] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[13] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[15] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[1] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[2] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.C[3] @@ -861,6 +1214,7 @@ InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[12] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[13] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[14] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[1] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[2] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[3] InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.D[4] @@ -876,21 +1230,28 @@ InMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_MAC_U_8X8_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MAC_U_8X8_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MAC_U_8X8_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[0] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[10] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[11] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[12] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[13] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[14] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[15] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[1] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[3] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[4] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[5] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[7] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[9] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.BHOLD InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[0] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[10] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[11] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[12] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[13] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[14] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[15] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[1] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[2] @@ -898,46 +1259,214 @@ InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[3] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[4] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[5] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[7] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[8] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[9] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.CI InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[0] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[10] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[11] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[12] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[13] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[14] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[15] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[1] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[3] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[4] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[5] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[7] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[8] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.C[9] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[0] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[10] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[11] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[13] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[14] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[1] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[2] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[3] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[4] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[5] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[6] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[7] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[8] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[9] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.OLOADBOT +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.AHOLD +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[0] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[10] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[11] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[12] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[14] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[15] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[2] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[3] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[4] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[5] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[6] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[7] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[9] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[0] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[10] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[11] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[12] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[13] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[14] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[15] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[1] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[2] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[3] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[4] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[5] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[6] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[7] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[8] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[9] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.CHOLD +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.CI +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[0] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[10] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[11] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[12] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[13] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[14] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[15] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[1] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[2] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[3] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[4] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[6] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[7] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[8] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[9] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.DHOLD +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[0] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[10] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[12] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[13] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[1] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[2] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[3] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[4] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[5] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[6] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[7] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[8] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[9] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OLOADTOP +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CI +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[15] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[2] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[4] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[7] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[8] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[11] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[12] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[1] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[4] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[5] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[6] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.B[7] @@ -947,17 +1476,29 @@ InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CHOLD InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CI InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[11] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[12] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[14] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[15] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[1] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[2] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[4] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[5] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.C[9] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.DHOLD InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[11] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[12] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[1] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[2] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[3] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[4] @@ -965,6 +1506,7 @@ InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[5] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[6] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[7] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.D[9] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OLOADBOT @@ -972,7 +1514,9 @@ InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_MUL_S_16X16_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MUL_S_16X16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MUL_S_16X16_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[0] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[10] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[11] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[12] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[13] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[14] @@ -981,23 +1525,31 @@ InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[1] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[2] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[3] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[5] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[6] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[7] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[8] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.A[9] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[0] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[10] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[11] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[12] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[13] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[14] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[15] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[1] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[2] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[3] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[4] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[6] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[7] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[8] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.B[9] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.CHOLD InMux.O SB_MAC16_MUL_S_16X16_BYPASS.CI +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[0] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[10] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[11] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[12] @@ -1011,6 +1563,7 @@ InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[4] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[5] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[6] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[7] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[8] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.C[9] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.DHOLD InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[0] @@ -1024,8 +1577,10 @@ InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[1] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[2] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[3] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[4] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[5] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[6] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[7] +InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[8] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.D[9] InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OHOLDBOT InMux.O SB_MAC16_MUL_S_16X16_BYPASS.OHOLDTOP @@ -1036,9 +1591,13 @@ InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.AHOLD InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[0] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[11] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[12] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[13] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[2] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[3] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[4] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[5] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[6] @@ -1050,38 +1609,129 @@ InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[0] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[11] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[12] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[13] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[14] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[15] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[2] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[3] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[4] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[6] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[7] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[8] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[9] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CHOLD InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.CI +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[0] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[10] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[11] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[12] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[13] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[14] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[15] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[1] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[2] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[3] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[4] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[5] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[6] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[7] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[8] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.C[9] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.DHOLD InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[0] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[10] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[11] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[12] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[13] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[14] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[15] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[1] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[2] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[3] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[4] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[6] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[9] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDBOT InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDTOP InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OLOADTOP +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ADDSUBBOT +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ADDSUBTOP +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[10] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[12] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[2] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[3] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[5] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[6] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[7] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.A[9] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.BHOLD +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[0] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[13] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[14] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[15] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[6] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.B[9] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CI +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[0] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[11] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[14] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[2] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[3] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[4] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[7] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.DHOLD +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[10] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[11] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[14] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[1] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[3] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[6] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[9] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OHOLDBOT +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OHOLDTOP +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[0] @@ -1145,11 +1795,13 @@ InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[0] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[14] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[1] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[2] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.A[4] @@ -1179,6 +1831,7 @@ InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CHOLD InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CI InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[11] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[12] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[14] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[15] @@ -1188,17 +1841,22 @@ InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[3] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[4] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[5] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[7] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[9] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.DHOLD InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[0] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[10] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[11] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[12] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[14] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[15] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[1] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[2] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[3] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[4] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[5] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[6] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[7] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.D[8] @@ -1207,6 +1865,7 @@ InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MUL_U_16X16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MUL_U_16X16_BYPASS.AHOLD InMux.O SB_MAC16_MUL_U_16X16_BYPASS.A[0] @@ -1229,6 +1888,7 @@ InMux.O SB_MAC16_MUL_U_16X16_BYPASS.BHOLD InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[0] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[10] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[11] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[12] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[13] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[14] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.B[15] @@ -1271,6 +1931,7 @@ InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[2] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[3] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[4] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[5] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[6] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[7] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[8] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.D[9] @@ -1301,6 +1962,7 @@ InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[10] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[11] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[12] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[13] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.B[15] @@ -1327,6 +1989,8 @@ InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[2] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[3] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[4] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[5] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[7] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[8] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.C[9] InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.DHOLD @@ -1357,11 +2021,16 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[10] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[14] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[2] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[5] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[6] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[7] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[8] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[9] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.BHOLD InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[0] @@ -1370,29 +2039,46 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[13] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[2] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[7] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[8] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[9] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.CHOLD InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[10] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[11] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[14] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[5] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[7] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[8] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[9] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.DHOLD +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[10] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[14] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[6] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[7] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[8] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[9] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OHOLDBOT InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OHOLDTOP InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.OLOADTOP InMux.O SB_RAM40_4K.MASK[0] InMux.O SB_RAM40_4K.MASK[10] InMux.O SB_RAM40_4K.MASK[11] @@ -1726,6 +2412,66 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_ACC_U_16P16_BYPASS.ACCUMCO SB_MAC16_ACC_U_16P16_BYPASS.ACCUMCI +SB_MAC16_ACC_U_16P16_BYPASS.CO LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.CO Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[0] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[0] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[0] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[10] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[11] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[11] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[12] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[12] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[13] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[13] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[14] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[14] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[15] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[16] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[17] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[17] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[17] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[18] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[18] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[18] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[19] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[19] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[1] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[1] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[20] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[21] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[22] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[22] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[23] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[23] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[24] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[24] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[24] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[25] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[26] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[27] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[28] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[29] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[2] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[2] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[30] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[31] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[31] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[3] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[4] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[4] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[4] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[5] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[6] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[6] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[7] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[7] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[8] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[8] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[9] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[9] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.SIGNEXTOUT SB_MAC16_ACC_U_16P16_BYPASS.SIGNEXTIN SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCO SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCI SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CO LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.CO Odrv12.I @@ -1748,15 +2494,22 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] Odrv12.I @@ -1765,26 +2518,35 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[28] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[5] Odrv4.I @@ -1801,18 +2563,70 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTIN +SB_MAC16_ACC_U_32P32_BYPASS.ACCUMCO SB_MAC16_ACC_U_32P32_BYPASS.ACCUMCI +SB_MAC16_ACC_U_32P32_BYPASS.CO Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[0] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[0] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[10] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[10] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[11] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[11] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[12] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[13] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[13] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[14] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[15] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[15] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[16] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[17] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[18] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[19] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[1] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[20] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[20] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[21] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[22] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[22] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[22] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[23] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[24] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[25] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[26] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[27] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[27] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[28] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[29] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[2] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[30] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[31] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[3] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[3] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[4] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[4] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[5] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[5] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[6] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[7] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[8] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[8] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.SIGNEXTOUT SB_MAC16_ACC_U_32P32_BYPASS.SIGNEXTIN SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCO SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCI SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[16] Odrv12.I @@ -1823,8 +2637,10 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv4.I @@ -1832,22 +2648,30 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] Odrv12.I @@ -1855,102 +2679,226 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ADS_U_16P16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCO SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCI SB_MAC16_ADS_U_16P16_BYPASS.CO LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.CO Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[0] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[0] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[10] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[10] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[11] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[11] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[13] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[13] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[14] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[14] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[14] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[15] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[15] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[15] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[16] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[17] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[17] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[17] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[18] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[19] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[19] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[19] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[1] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[1] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[20] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[21] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[22] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[24] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[24] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[26] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[27] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[29] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[29] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[2] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[2] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[2] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[30] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[31] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[3] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[4] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[4] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[5] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[5] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[5] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[6] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[6] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[6] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[7] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[7] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[7] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[8] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[9] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[9] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTOUT SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTIN +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ACCUMCO SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ACCUMCI +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[31] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ADS_U_32P32_ALL_PIPELINE.SIGNEXTIN SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCO SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCI +SB_MAC16_ADS_U_32P32_BYPASS.CO LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.CO Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.CO Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[0] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[0] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[10] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[11] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[11] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[12] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[12] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[12] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[13] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[13] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[13] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[14] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[14] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[15] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[16] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[16] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[17] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[18] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[18] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[1] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[1] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[20] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[20] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[20] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[21] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[22] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[23] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[24] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[24] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[25] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[25] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[26] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[28] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[29] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[29] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[2] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[2] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[2] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[30] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[30] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[31] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[3] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[4] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[4] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[4] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[5] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[5] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[5] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[6] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[6] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[6] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[7] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[7] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[7] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[8] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[8] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[8] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[9] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[9] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.SIGNEXTOUT SB_MAC16_ADS_U_32P32_BYPASS.SIGNEXTIN SB_MAC16_MAC_S_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MAC_S_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_S_16X16_IM_BYPASS.CO LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.CO Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[0] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[0] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[0] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[10] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[10] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[11] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[12] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[12] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[17] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[17] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[18] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[18] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[18] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[19] Odrv12.I @@ -1959,91 +2907,149 @@ SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[21] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[26] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[26] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[28] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[4] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[4] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[4] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[5] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[5] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[6] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[7] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[7] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[8] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[8] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[8] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] Odrv12.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCI SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCI SB_MAC16_MAC_U_16X16_BYPASS.CO Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[10] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[11] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[13] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[14] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[16] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[17] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[19] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[1] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[20] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[21] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[23] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[25] Odrv12.I @@ -2052,12 +3058,20 @@ SB_MAC16_MAC_U_16X16_BYPASS.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[27] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[2] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[30] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[4] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[8] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[9] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[9] Odrv4.I @@ -2065,51 +3079,74 @@ SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCI SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCI SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv4.I @@ -2120,6 +3157,7 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[21] Odrv4.I @@ -2128,10 +3166,13 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[27] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv4.I @@ -2140,6 +3181,7 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] LocalMux.I @@ -2148,6 +3190,7 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] Odrv12.I @@ -2158,28 +3201,39 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_U_16X16_IM_BYPASS.CO LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[10] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[10] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[14] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[17] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[23] Odrv12.I @@ -2189,13 +3243,17 @@ SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[27] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[28] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[28] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[2] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[2] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[2] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[3] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[3] Odrv12.I @@ -2210,14 +3268,17 @@ SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_16X16_IM_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ACCUMCI SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CO LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[0] Odrv4.I @@ -2261,7 +3322,9 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[28] Odrv4.I @@ -2282,6 +3345,7 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv4.I @@ -2295,75 +3359,260 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_8X8_ALL_PIPELINE.SIGNE SB_MAC16_MAC_U_8X8_BYPASS.ACCUMCO SB_MAC16_MAC_U_8X8_BYPASS.ACCUMCI SB_MAC16_MAC_U_8X8_BYPASS.CO LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.CO Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[0] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[10] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[11] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[12] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[12] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[13] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[14] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[15] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[16] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[17] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[18] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[18] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[1] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[1] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[23] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[25] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[26] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[26] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[28] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[29] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[2] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[30] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[3] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[3] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[4] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[4] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[7] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[7] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[8] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[8] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[8] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTIN +SB_MAC16_MAC_U_8X8_IM_BYPASS.ACCUMCO SB_MAC16_MAC_U_8X8_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_U_8X8_IM_BYPASS.CO Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[11] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[12] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[12] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[17] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[18] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[19] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[21] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[23] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[24] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[26] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[27] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[2] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[31] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[31] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[6] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[8] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[9] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_8X8_IM_BYPASS.SIGNEXTIN +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[20] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[31] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[7] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[8] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAS_U_8X8_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCI SB_MAC16_MUL_S_16X16_BYPASS.CO Odrv12.I @@ -2374,20 +3623,31 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[11] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[11] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[12] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[13] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[15] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[20] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv4.I @@ -2398,9 +3658,11 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[26] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[28] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[28] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[2] LocalMux.I @@ -2411,59 +3673,168 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[3] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[4] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[5] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[5] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[5] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[6] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[7] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[7] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[9] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTIN SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCI SB_MAC16_MUL_S_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[18] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[28] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[28] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[29] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[31] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[5] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[5] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[5] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[6] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTIN +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[16] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[18] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[19] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[21] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[22] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[24] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[25] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[26] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[28] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[29] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[30] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[31] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[3] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[9] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_S_8X8_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCI SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv4.I @@ -2520,19 +3891,31 @@ SB_MAC16_MUL_S_8X8_BYPASS.O[8] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTIN SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[19] Odrv4.I @@ -2543,7 +3926,10 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[22] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv12.I @@ -2551,19 +3937,31 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[8] Odrv12.I @@ -2573,6 +3971,7 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_U_16X16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_U_16X16_BYPASS.ACCUMCO SB_MAC16_MUL_U_16X16_BYPASS.ACCUMCI +SB_MAC16_MUL_U_16X16_BYPASS.CO LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.CO Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.CO Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[0] LocalMux.I @@ -2587,6 +3986,7 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[12] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[12] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[13] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[13] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[14] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[14] Odrv4.I @@ -2602,6 +4002,8 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[18] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[20] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[21] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[21] Odrv4.I @@ -2617,9 +4019,11 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[29] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[2] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[31] Odrv4.I @@ -2630,10 +4034,13 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[5] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[5] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[6] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[7] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[7] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[9] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[9] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTIN SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCI SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv12.I @@ -2641,10 +4048,12 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv4.I @@ -2656,15 +4065,20 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv4.I @@ -2675,7 +4089,9 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] LocalMux.I @@ -2684,11 +4100,14 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] Odrv12.I @@ -2696,17 +4115,29 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[9] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCI +SB_MAC16_MUL_U_8X8_BYPASS.CO Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.CO Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[0] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[0] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[11] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[16] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[18] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[1] Odrv12.I @@ -2720,13 +4151,23 @@ SB_MAC16_MUL_U_8X8_BYPASS.O[24] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[25] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[29] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[2] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[30] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[30] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[3] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[4] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[4] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[6] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[8] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[8] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv4.I @@ -2800,28 +4241,48 @@ SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_ACC_U_16P16_BYPASS.IRSTBOT +SRMux.O SB_MAC16_ACC_U_16P16_BYPASS.IRSTTOP +SRMux.O SB_MAC16_ACC_U_16P16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_ACC_U_16P16_BYPASS.ORSTTOP SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_ACC_U_32P32_BYPASS.IRSTBOT +SRMux.O SB_MAC16_ACC_U_32P32_BYPASS.IRSTTOP +SRMux.O SB_MAC16_ACC_U_32P32_BYPASS.ORSTBOT +SRMux.O SB_MAC16_ACC_U_32P32_BYPASS.ORSTTOP SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.IRSTBOT SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.IRSTTOP SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.ORSTBOT SRMux.O SB_MAC16_ADS_U_16P16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.IRSTBOT SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.IRSTTOP +SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.ORSTBOT +SRMux.O SB_MAC16_ADS_U_32P32_BYPASS.ORSTTOP SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.IRSTBOT SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.IRSTTOP SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ORSTBOT SRMux.O SB_MAC16_MAC_S_16X16_IM_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ORSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.IRSTBOT SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ORSTBOT @@ -2835,7 +4296,16 @@ SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_MAC_U_8X8_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.ORSTBOT SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ORSTBOT @@ -2844,12 +4314,18 @@ SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.IRSTBOT SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.ORSTBOT SRMux.O SB_MAC16_MUL_S_16X16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.IRSTBOT SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ORSTBOT SRMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.IRSTBOT +SRMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.IRSTTOP +SRMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ORSTBOT +SRMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTBOT SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ORSTTOP @@ -2862,7 +4338,9 @@ SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_U_8X8_BYPASS.ORSTTOP SRMux.O SB_RAM40_4K.RE SRMux.O SB_RAM40_4K.WE Sp12to4.O IoSpan4Mux.I diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 176b4a0..60161c3 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -104,7 +104,8 @@ std::set declared_nets; int dangling_cnt = 0; std::map>> logic_tile_bits, - io_tile_bits, ramb_tile_bits, ramt_tile_bits; + io_tile_bits, ramb_tile_bits, ramt_tile_bits, ipcon_tile_bits, dsp0_tile_bits, + dsp1_tile_bits, dsp2_tile_bits, dsp3_tile_bits; std::string vstringf(const char *fmt, va_list ap) { @@ -432,7 +433,8 @@ void read_chipdb() gbufpin.push_back(items); } - if (mode == ".logic_tile_bits" || mode == ".io_tile_bits" || mode == ".ramb_tile_bits" || mode == ".ramt_tile_bits") { + if (mode == ".logic_tile_bits" || mode == ".io_tile_bits" || mode == ".ramb_tile_bits" || mode == ".ramt_tile_bits" || + mode == ".ipcon_tile_bits" || mode == ".dsp0_tile_bits" || mode == ".dsp1_tile_bits" || mode == ".dsp2_tile_bits" || mode == ".dsp3_tile_bits") { std::vector> items; while (1) { const char *s = strtok(nullptr, " \t\r\n"); @@ -451,6 +453,16 @@ void read_chipdb() ramb_tile_bits[tok] = items; if (mode == ".ramt_tile_bits") ramt_tile_bits[tok] = items; + if (mode == ".ipcon_tile_bits") + ipcon_tile_bits[tok] = items; + if (mode == ".dsp0_tile_bits") + dsp0_tile_bits[tok] = items; + if (mode == ".dsp1_tile_bits") + dsp1_tile_bits[tok] = items; + if (mode == ".dsp2_tile_bits") + dsp2_tile_bits[tok] = items; + if (mode == ".dsp3_tile_bits") + dsp3_tile_bits[tok] = items; } if (mode == ".extra_bits") { -- cgit v1.2.3 From 49dfe15d8ceb4b51cf45c50009a6755308204332 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 23 Jan 2018 09:56:14 +0000 Subject: Parse extra cells in icetime --- icetime/icetime.cc | 51 ++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 50 insertions(+), 1 deletion(-) diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 60161c3..181124a 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -107,6 +107,9 @@ std::map>> logic_tile_bits, io_tile_bits, ramb_tile_bits, ramt_tile_bits, ipcon_tile_bits, dsp0_tile_bits, dsp1_tile_bits, dsp2_tile_bits, dsp3_tile_bits; +std::map, + std::map>> extra_cells; + std::string vstringf(const char *fmt, va_list ap) { std::string string; @@ -329,8 +332,9 @@ void read_chipdb() std::string mode; int current_net = -1; - int tile_x = -1, tile_y = -1; + int tile_x = -1, tile_y = -1, cell_z = -1; std::string thiscfg; + std::string cellname; std::vector> gbufin; std::vector> gbufpin; @@ -378,6 +382,22 @@ void read_chipdb() continue; } + if (mode == ".extra_cell") { + tile_x = atoi(strtok(nullptr, " \t\r\n")); + tile_y = atoi(strtok(nullptr, " \t\r\n")); + // For legacy reasons, extra_cell may be X Y name or X Y Z name + const char *z_or_name = strtok(nullptr, " \t\r\n"); + if(isdigit(z_or_name[0])) { + cell_z = atoi(z_or_name); + cellname = std::string(strtok(nullptr, " \t\r\n")); + } else { + cell_z = 0; + cellname = std::string(z_or_name); + } + extra_cells[std::make_tuple(cellname, tile_x, tile_y, cell_z)] = {}; + continue; + } + continue; } @@ -473,6 +493,14 @@ void read_chipdb() if (extra_bits.count(key)) extrabitfunc.insert(tok); } + + if(mode == ".extra_cell") { + std::string key = std::string(tok); + int x = atoi(strtok(nullptr, " \t\r\n")); + int y = atoi(strtok(nullptr, " \t\r\n")); + std::string name = std::string(strtok(nullptr, " \t\r\n")); + extra_cells[make_tuple(cellname, tile_x, tile_y, cell_z)][key] = make_tuple(x, y, name); + } } fclose(fdb); @@ -658,7 +686,28 @@ const std::set &get_inports(std::string cell_type) inports_map["SB_RAM40_4K"].insert(stringf("RADDR[%d]", i)); inports_map["SB_RAM40_4K"].insert(stringf("WADDR[%d]", i)); } + + inports_map["SB_MAC16"] = { "CLK", "CE", "AHOLD", "BHOLD", "CHOLD", "DHOLD", "IRSTTOP", "IRSTBOT", "ORSTTOP", "ORSTBOT", + "OLOADTOP", "OLOADBOT", "ADDSUBTOP", "ADDSUBBOT", "OHOLDTOP", "OHOLDBOT", "CI", "ACCUMCI", + "SIGNEXTIN"}; + for (int i = 0; i < 16; i++) { + inports_map["SB_MAC16"].insert(stringf("C[%d]", i)); + inports_map["SB_MAC16"].insert(stringf("A[%d]", i)); + inports_map["SB_MAC16"].insert(stringf("B[%d]", i)); + inports_map["SB_MAC16"].insert(stringf("D[%d]", i)); + } + + inports_map["SB_SPRAM256KA"] = { "WREN", "CHIPSELECT", "CLOCK", "STANDBY", "SLEEP", "POWEROFF", + "MASKWREN[0]", "MASKWREN[1]", "MASKWREN[2]", "MASKWREN[3]"}; + + for (int i = 0; i < 16; i++) { + inports_map["SB_SPRAM256KA"].insert(stringf("DATAIN[%d]", i)); + } + for (int i = 0; i < 14; i++) { + inports_map["SB_SPRAM256KA"].insert(stringf("ADDRESS[%d]", i)); + } + inports_map["INTERCONN"] = { "I" }; } -- cgit v1.2.3 From cd4352e0cea26ff983c1fc6608fc46c143c88b2d Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 26 Jan 2018 18:35:35 +0000 Subject: Work on timing analysis of DSPs --- icetime/icetime.cc | 204 ++++++++++++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 196 insertions(+), 8 deletions(-) diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 181124a..788af21 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -710,12 +710,19 @@ const std::set &get_inports(std::string cell_type) inports_map["INTERCONN"] = { "I" }; } - + + + std::string dsp_prefix = "SB_MAC16"; + + if(cell_type.substr(0, dsp_prefix.length()) == dsp_prefix) + cell_type = "SB_MAC16"; + if (inports_map.count(cell_type) == 0) { fprintf(stderr, "Missing entry in inports_map for cell type %s!\n", cell_type.c_str()); exit(1); } + return inports_map.at(cell_type); } @@ -1243,6 +1250,154 @@ std::string make_lc40(int x, int y, int z) return cell; } +bool get_dsp_ip_cbit(std::tuple cbit) { + std::string name = "IpConfig." + std::get<2>(cbit); + // DSP0 contains all CBITs, the same as any DSP/IP tile + if(dsp0_tile_bits.count(name)) { + auto bitpos = dsp0_tile_bits.at(name)[0]; + return config_bits[std::get<0>(cbit)][std::get<1>(cbit)][bitpos.first][bitpos.second]; + } + return false; +} + +std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) +{ + std::tuple ecnet(x, y, net); + std::tuple key("", -1, -1, -1); + bool found = false; + for(auto ec : extra_cells) { + for(auto entry : ec.second) { + if(entry.second == ecnet) { + key = ec.first; + primnet = entry.first; + found = true; + break; + } + } + } + if(!found) { + fprintf(stderr, "Error: net (%d, %d, '%s') does not correspond to any IP\n", x, y, net.c_str()); + exit(1); + } + int cx, cy, cz; + std::string ectype; + std::tie(ectype, cx, cy, cz) = key; + + auto cell = stringf("%s_%d_%d_%d", ectype.c_str(), cx, cy, cz); + + if (netlist_cell_types.count(cell)) + return cell; + + if(ectype == "MAC16") { + // Given the few actual unique timing possibilites, only look at a subset + // of the CBITs to pick the closest cell type from a timing point of view + std::string dsptype = ""; + bool mode_8x8 = get_dsp_ip_cbit(extra_cells[key].at("MODE_8x8")); + // It seems no different between any pipeline mode, so pick pipelining based + // on one of the bits + bool pipeline = get_dsp_ip_cbit(extra_cells[key].at("A_REG")); + int botout = (get_dsp_ip_cbit(extra_cells[key].at("BOTOUTPUT_SELECT_1")) << 1) | get_dsp_ip_cbit(extra_cells[key].at("BOTOUTPUT_SELECT_0")); + int botlwrin = (get_dsp_ip_cbit(extra_cells[key].at("BOTADDSUB_LOWERINPUT_1")) << 1) | get_dsp_ip_cbit(extra_cells[key].at("BOTADDSUB_LOWERINPUT_0")); + bool botuprin = get_dsp_ip_cbit(extra_cells[key].at("BOTADDSUB_UPPERINPUT")); + int topcarry = (get_dsp_ip_cbit(extra_cells[key].at("TOPADDSUB_CARRYSELECT_1")) << 1) | get_dsp_ip_cbit(extra_cells[key].at("TOPADDSUB_CARRYSELECT_0")); + // Worst case default + std::string basename = "SB_MAC16_MUL_U_16X16"; + // Note: signedness is ignored as it seems to have no effect + if(mode_8x8 && !botuprin && (botlwrin == 0) && (botout == 2)) { + basename = "SB_MAC16_MUL_U_8X8"; + } else if (!mode_8x8 && !botuprin && (botlwrin == 0) && (botout == 3)) { + basename = "SB_MAC16_MUL_U_16X16"; + } else if (mode_8x8 && !botuprin && (botlwrin == 1) && (botout == 1)) { + basename = "SB_MAC16_MAC_U_8X8"; + } else if (!mode_8x8 && !botuprin && (botlwrin == 2) && (botout == 1)) { + basename = "SB_MAC16_MAC_U_16X16"; + } else if (mode_8x8 && !botuprin && (botlwrin == 0) && (botout == 1) && (topcarry == 0)) { + basename = "SB_MAC16_ACC_U_16P16"; + } else if (mode_8x8 && !botuprin && (botlwrin == 0) && (botout == 1) && (topcarry == 2)) { + basename = "SB_MAC16_ACC_U_32P32"; + } else if (mode_8x8 && botuprin && (botlwrin == 0) && (topcarry == 0)) { + basename = "SB_MAC16_ADS_U_16P16"; + } else if (mode_8x8 && botuprin && (botlwrin == 0) && (topcarry == 2)) { + basename = "SB_MAC16_ADS_U_32P32"; + } else if (mode_8x8 && botuprin && (botlwrin == 1)) { + basename = "SB_MAC16_MAS_U_8X8"; + } else if (!mode_8x8 && botuprin && (botlwrin == 2)) { + basename = "SB_MAC16_MAS_U_16X16"; + } else { + fprintf(stderr, "Warning: detected unknown/unsupported DSP config, defaulting to 16x16 MUL.\n"); + } + dsptype = basename + (pipeline ? "_ALL_PIPELINE" : "_BYPASS"); + netlist_cell_types[cell] = dsptype; + + for (int i = 0; i < 16; i++) { + netlist_cell_ports[cell][stringf("C[%d]", i)] = "gnd"; + netlist_cell_ports[cell][stringf("A[%d]", i)] = "gnd"; + netlist_cell_ports[cell][stringf("B[%d]", i)] = "gnd"; + netlist_cell_ports[cell][stringf("D[%d]", i)] = "gnd"; + } + + netlist_cell_ports[cell]["CLK"] = ""; + netlist_cell_ports[cell]["CE"] = ""; + netlist_cell_ports[cell]["AHOLD"] = "gnd"; + netlist_cell_ports[cell]["BHOLD"] = "gnd"; + netlist_cell_ports[cell]["CHOLD"] = "gnd"; + netlist_cell_ports[cell]["DHOLD"] = "gnd"; + + netlist_cell_ports[cell]["IRSTTOP"] = ""; + netlist_cell_ports[cell]["IRSTBOT"] = ""; + netlist_cell_ports[cell]["ORSTTOP"] = ""; + netlist_cell_ports[cell]["ORSTBOT"] = ""; + + netlist_cell_ports[cell]["OLOADTOP"] = "gnd"; + netlist_cell_ports[cell]["OLOADBOT"] = "gnd"; + netlist_cell_ports[cell]["ADDSUBTOP"] = "gnd"; + netlist_cell_ports[cell]["ADDSUBBOT"] = "gnd"; + netlist_cell_ports[cell]["OHOLDTOP"] = "gnd"; + netlist_cell_ports[cell]["OHOLDBOT"] = "gnd"; + netlist_cell_ports[cell]["CI"] = "gnd"; + netlist_cell_ports[cell]["ACCUMCI"] = ""; + netlist_cell_ports[cell]["SIGNEXTIN"] = ""; + + for (int i = 0; i < 32; i++) { + netlist_cell_ports[cell][stringf("O[%d]", i)] = ""; + } + + netlist_cell_ports[cell]["ACCUMCO"] = ""; + netlist_cell_ports[cell]["SIGNEXTOUT"] = ""; + + return cell; + } else if(ectype == "SPRAM256KA") { + netlist_cell_types[cell] = "SB_SPRAM256KA"; + + for (int i = 0; i < 14; i++) { + netlist_cell_ports[cell][stringf("ADDRESS[%d]", i)] = "gnd"; + } + + for (int i = 0; i < 16; i++) { + netlist_cell_ports[cell][stringf("DATAIN[%d]", i)] = "gnd"; + netlist_cell_ports[cell][stringf("DATAOUT[%d]", i)] = ""; + } + + netlist_cell_ports[cell]["MASKWREN[3]"] = "gnd"; + netlist_cell_ports[cell]["MASKWREN[2]"] = "gnd"; + netlist_cell_ports[cell]["MASKWREN[1]"] = "gnd"; + netlist_cell_ports[cell]["MASKWREN[0]"] = "gnd"; + + netlist_cell_ports[cell]["WREN"] = "gnd"; + netlist_cell_ports[cell]["CHIPSELECT"] = "gnd"; + netlist_cell_ports[cell]["CLOCK"] = ""; + netlist_cell_ports[cell]["STANDBY"] = "gnd"; + netlist_cell_ports[cell]["SLEEP"] = "gnd"; + netlist_cell_ports[cell]["POWEROFF"] = "gnd"; + + return cell; + } else { + netlist_cell_types[cell] = "SB_" + ectype; + fprintf(stderr, "Warning: timing analysis not supported for cell type %s\n", ectype.c_str()); + return cell; + } +} + std::string make_ram(int x, int y) { auto cell = stringf("ram_%d_%d", x, y); @@ -1377,17 +1532,28 @@ void make_seg_cell(int net, const net_segment_t &seg) } if (sscanf(seg.name.c_str(), "lutff_%d/in_%d", &a, &b) == 2) { - auto cell = make_lc40(seg.x, seg.y, a); - if (b == 2) { - // Lattice tools always put a CascadeMux on in2 - netlist_cell_ports[cell][stringf("in%d", b)] = cascademuxed(net_name(net)); + //"logic" wires at the side of the device are actually IP or DSP + if(device_type == "up5k" && ((seg.x == 0) || (seg.x == config_tile_type.size() - 1))) { + std::string primnet; + auto cell = make_dsp_ip(seg.x, seg.y, seg.name, primnet); + if(cell != "") { + make_inmux(seg.x, seg.y, net); + } + return; } else { - netlist_cell_ports[cell][stringf("in%d", b)] = net_name(net); + auto cell = make_lc40(seg.x, seg.y, a); + if (b == 2) { + // Lattice tools always put a CascadeMux on in2 + netlist_cell_ports[cell][stringf("in%d", b)] = cascademuxed(net_name(net)); + } else { + netlist_cell_ports[cell][stringf("in%d", b)] = net_name(net); + } + make_inmux(seg.x, seg.y, net); } - make_inmux(seg.x, seg.y, net); + return; } - + if (sscanf(seg.name.c_str(), "lutff_%d/ou%c", &a, &c) == 2 && c == 't') { for (int dst_net : net_buffers.at(seg.net)) @@ -1406,6 +1572,28 @@ void make_seg_cell(int net, const net_segment_t &seg) return; } + if (sscanf(seg.name.c_str(), "slf_op_%d", &a) == 1) + { + std::string primnet; + auto cell = make_dsp_ip(seg.x, seg.y, seg.name, primnet); + if(cell != "") { + netlist_cell_ports[cell][primnet] = net_name(net); + make_odrv(seg.x, seg.y, net); + } + return; + } + + if (sscanf(seg.name.c_str(), "mult/O_%d", &a) == 1) + { + std::string primnet; + auto cell = make_dsp_ip(seg.x, seg.y, seg.name, primnet); + if(cell != "") { + netlist_cell_ports[cell][primnet] = net_name(net); + make_odrv(seg.x, seg.y, net); + } + return; + } + if (sscanf(seg.name.c_str(), "lutff_%d/cou%c", &a, &c) == 2 && c == 't') { auto cell = make_lc40(seg.x, seg.y, a); -- cgit v1.2.3 From a6b2ca91e58c3de2dbd403647eeea4de2915522e Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 26 Jan 2018 19:08:16 +0000 Subject: Working DSP timing analysis --- icetime/icetime.cc | 27 ++++++++++++++++++++++++++- icetime/timings.py | 9 +++++++-- 2 files changed, 33 insertions(+), 3 deletions(-) diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 788af21..51c9c03 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -599,6 +599,10 @@ bool is_primary(std::string cell_name, std::string out_port) if (cell_type == "PRE_IO") return true; + std::string dsp_prefix = "SB_MAC16"; + if(cell_type.substr(0, dsp_prefix.length()) == dsp_prefix) + return true; + return false; } @@ -1260,6 +1264,26 @@ bool get_dsp_ip_cbit(std::tuple cbit) { return false; } +std::string ecnetname_to_vlog(std::string ec_name) +{ + // Convert a net name from the form A_0 used in the chipdb for extra cells to + // verilog form A[0] + size_t last_ = ec_name.find_last_of('_'); + if(last_ == std::string::npos) + return ec_name; + + std::string base = ec_name.substr(0, last_); + std::string end = ec_name.substr(last_+1); + size_t nidx = 0; + + int num = std::stoi(end, &nidx, 10); + if(nidx == end.length()) { + return base + "[" + std::to_string(num) + "]"; + } else { + return ec_name; + } +} + std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) { std::tuple ecnet(x, y, net); @@ -1269,7 +1293,7 @@ std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) for(auto entry : ec.second) { if(entry.second == ecnet) { key = ec.first; - primnet = entry.first; + primnet = ecnetname_to_vlog(entry.first); found = true; break; } @@ -1536,6 +1560,7 @@ void make_seg_cell(int net, const net_segment_t &seg) if(device_type == "up5k" && ((seg.x == 0) || (seg.x == config_tile_type.size() - 1))) { std::string primnet; auto cell = make_dsp_ip(seg.x, seg.y, seg.name, primnet); + netlist_cell_ports[cell][primnet] = net_name(net); if(cell != "") { make_inmux(seg.x, seg.y, net); } diff --git a/icetime/timings.py b/icetime/timings.py index 43d54ae..7ac2a7b 100644 --- a/icetime/timings.py +++ b/icetime/timings.py @@ -10,7 +10,7 @@ def timings_to_c(chip, f): print("{") in_cell = False - + last_cell = "" for line in f: fields = line.split() if len(fields) == 0: @@ -18,8 +18,14 @@ def timings_to_c(chip, f): if fields[0] == "CELL": if in_cell: + if last_cell.startswith("SB_MAC16"): + # DSPs have incomplete timing specification, as some paths + # don't mathematically exist - e.g. there is no path from + # A[1] to O[0] + print(" if (in_port != \"*clkedge*\" && out_port != \"*setup*\") return 0.0;") print(" }") print(" if (cell_type == \"%s\") {" % fields[1]) + last_cell = fields[1] in_cell = True if fields[0] == "SETUP": @@ -44,4 +50,3 @@ def timings_to_c(chip, f): for db in "lp384 lp1k lp8k hx1k hx8k up5k".split(): with open("../icefuzz/timings_%s.txt" % db, "r") as f: timings_to_c(db, f); - -- cgit v1.2.3 From dd49c058a553bfd1538539197f63847e24fab0f6 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 28 Jan 2018 16:38:46 +0000 Subject: DSP related fixes --- icefuzz/tmedges_unrenamed.tmp | 268 ------------------------------------------ icetime/icetime.cc | 3 +- 2 files changed, 2 insertions(+), 269 deletions(-) delete mode 100644 icefuzz/tmedges_unrenamed.tmp diff --git a/icefuzz/tmedges_unrenamed.tmp b/icefuzz/tmedges_unrenamed.tmp deleted file mode 100644 index c3e0a4f..0000000 --- a/icefuzz/tmedges_unrenamed.tmp +++ /dev/null @@ -1,268 +0,0 @@ -CascadeMux.O LogicCell40.in2 -ClkMux.O DummyBuf.I -ClkMux.O SB_SPRAM256KA.CLOCK -DummyBuf.O Odrv4.I -DummyBuf.O SB_LEDDA_IP.LEDDADDR0 -DummyBuf.O SB_LEDDA_IP.LEDDADDR1 -DummyBuf.O SB_LEDDA_IP.LEDDADDR2 -DummyBuf.O SB_LEDDA_IP.LEDDADDR3 -DummyBuf.O SB_LEDDA_IP.LEDDCLK -DummyBuf.O SB_LEDDA_IP.LEDDCS -DummyBuf.O SB_LEDDA_IP.LEDDDAT0 -DummyBuf.O SB_LEDDA_IP.LEDDDAT1 -DummyBuf.O SB_LEDDA_IP.LEDDDAT2 -DummyBuf.O SB_LEDDA_IP.LEDDDAT3 -DummyBuf.O SB_LEDDA_IP.LEDDDAT4 -DummyBuf.O SB_LEDDA_IP.LEDDDAT5 -DummyBuf.O SB_LEDDA_IP.LEDDDAT6 -DummyBuf.O SB_LEDDA_IP.LEDDDAT7 -DummyBuf.O SB_LEDDA_IP.LEDDDEN -DummyBuf.O SB_LEDDA_IP.LEDDEXE -GND.Y LogicCell40.carryin -GND.Y LogicCell40.clk -GND.Y LogicCell40.in0 -GND.Y LogicCell40.in1 -GND.Y LogicCell40.in2 -GND.Y LogicCell40.in3 -GND.Y LogicCell40.sr -Glb2LocalMux.O LocalMux.I -GlobalMux.O ClkMux.I -GlobalMux.O Glb2LocalMux.I -ICE_CARRY_IN_MUX.carryinitout InMux.I -ICE_CARRY_IN_MUX.carryinitout LogicCell40.carryin -ICE_GB.GLOBALBUFFEROUTPUT gio2CtrlBuf.I -IO_PAD.DOUT PRE_IO.PADIN -IO_PAD.PACKAGEPIN IO_PAD.PACKAGEPIN -InMux.O CascadeMux.I -InMux.O DummyBuf.I -InMux.O LogicCell40.in0 -InMux.O LogicCell40.in1 -InMux.O LogicCell40.in3 -InMux.O SB_HFOSC.CLKHFEN -InMux.O SB_HFOSC.CLKHFPU -InMux.O SB_LFOSC.CLKLFEN -InMux.O SB_LFOSC.CLKLFPU -InMux.O SB_RGBA_DRV.CURREN -InMux.O SB_RGBA_DRV.RGB0PWM -InMux.O SB_RGBA_DRV.RGB1PWM -InMux.O SB_RGBA_DRV.RGB2PWM -InMux.O SB_RGBA_DRV.RGBLEDEN -InMux.O SB_SPRAM256KA.ADDRESS[0] -InMux.O SB_SPRAM256KA.ADDRESS[10] -InMux.O SB_SPRAM256KA.ADDRESS[11] -InMux.O SB_SPRAM256KA.ADDRESS[12] -InMux.O SB_SPRAM256KA.ADDRESS[13] -InMux.O SB_SPRAM256KA.ADDRESS[1] -InMux.O SB_SPRAM256KA.ADDRESS[2] -InMux.O SB_SPRAM256KA.ADDRESS[3] -InMux.O SB_SPRAM256KA.ADDRESS[4] -InMux.O SB_SPRAM256KA.ADDRESS[5] -InMux.O SB_SPRAM256KA.ADDRESS[6] -InMux.O SB_SPRAM256KA.ADDRESS[7] -InMux.O SB_SPRAM256KA.ADDRESS[8] -InMux.O SB_SPRAM256KA.ADDRESS[9] -InMux.O SB_SPRAM256KA.CHIPSELECT -InMux.O SB_SPRAM256KA.DATAIN[0] -InMux.O SB_SPRAM256KA.DATAIN[10] -InMux.O SB_SPRAM256KA.DATAIN[11] -InMux.O SB_SPRAM256KA.DATAIN[12] -InMux.O SB_SPRAM256KA.DATAIN[13] -InMux.O SB_SPRAM256KA.DATAIN[14] -InMux.O SB_SPRAM256KA.DATAIN[15] -InMux.O SB_SPRAM256KA.DATAIN[1] -InMux.O SB_SPRAM256KA.DATAIN[2] -InMux.O SB_SPRAM256KA.DATAIN[3] -InMux.O SB_SPRAM256KA.DATAIN[4] -InMux.O SB_SPRAM256KA.DATAIN[5] -InMux.O SB_SPRAM256KA.DATAIN[6] -InMux.O SB_SPRAM256KA.DATAIN[7] -InMux.O SB_SPRAM256KA.DATAIN[8] -InMux.O SB_SPRAM256KA.DATAIN[9] -InMux.O SB_SPRAM256KA.MASKWREN[0] -InMux.O SB_SPRAM256KA.MASKWREN[1] -InMux.O SB_SPRAM256KA.MASKWREN[2] -InMux.O SB_SPRAM256KA.MASKWREN[3] -InMux.O SB_SPRAM256KA.POWEROFF -InMux.O SB_SPRAM256KA.SLEEP -InMux.O SB_SPRAM256KA.STANDBY -InMux.O SB_SPRAM256KA.WREN -IoInMux.O ICE_GB.USERSIGNALTOGLOBALBUFFER -IoInMux.O PRE_IO.DOUT0 -IoSpan4Mux.O IoSpan4Mux.I -IoSpan4Mux.O LocalMux.I -IoSpan4Mux.O Span4Mux_h.I -IoSpan4Mux.O Span4Mux_s3_h.I -IoSpan4Mux.O Span4Mux_v.I -LocalMux.O ClkMux.I -LocalMux.O InMux.I -LocalMux.O IoInMux.I -LogicCell40.carryout ICE_CARRY_IN_MUX.carryinitin -LogicCell40.carryout InMux.I -LogicCell40.carryout LogicCell40.carryin -LogicCell40.lcout LocalMux.I -LogicCell40.lcout Odrv12.I -LogicCell40.lcout Odrv4.I -LogicCell40.ltout CascadeMux.I -Odrv12.O LocalMux.I -Odrv12.O Sp12to4.I -Odrv12.O Span12Mux_h.I -Odrv12.O Span12Mux_s0_h.I -Odrv12.O Span12Mux_s10_h.I -Odrv12.O Span12Mux_s10_v.I -Odrv12.O Span12Mux_s11_h.I -Odrv12.O Span12Mux_s11_v.I -Odrv12.O Span12Mux_s1_v.I -Odrv12.O Span12Mux_s2_v.I -Odrv12.O Span12Mux_s3_h.I -Odrv12.O Span12Mux_s3_v.I -Odrv12.O Span12Mux_s4_h.I -Odrv12.O Span12Mux_s4_v.I -Odrv12.O Span12Mux_s5_v.I -Odrv12.O Span12Mux_s6_h.I -Odrv12.O Span12Mux_s6_v.I -Odrv12.O Span12Mux_s7_h.I -Odrv12.O Span12Mux_s7_v.I -Odrv12.O Span12Mux_s8_h.I -Odrv12.O Span12Mux_s8_v.I -Odrv12.O Span12Mux_s9_h.I -Odrv12.O Span12Mux_s9_v.I -Odrv12.O Span12Mux_v.I -Odrv4.O IoSpan4Mux.I -Odrv4.O LocalMux.I -Odrv4.O Span4Mux_h.I -Odrv4.O Span4Mux_s0_v.I -Odrv4.O Span4Mux_s1_v.I -Odrv4.O Span4Mux_s2_h.I -Odrv4.O Span4Mux_s2_v.I -Odrv4.O Span4Mux_s3_h.I -Odrv4.O Span4Mux_s3_v.I -Odrv4.O Span4Mux_v.I -PRE_IO.DIN0 LocalMux.I -PRE_IO.DIN0 Odrv12.I -PRE_IO.DIN0 Odrv4.I -PRE_IO.PADOEN IO_PAD.OE -PRE_IO.PADOUT IO_PAD.DIN -SB_HFOSC.CLKHF GlobalMux.I -SB_LEDDA_IP.PWMOUT0 DummyBuf.I -SB_LFOSC.CLKLF GlobalMux.I -Sp12to4.O IoSpan4Mux.I -Sp12to4.O LocalMux.I -Sp12to4.O Span4Mux_h.I -Sp12to4.O Span4Mux_s0_v.I -Sp12to4.O Span4Mux_s1_h.I -Sp12to4.O Span4Mux_s2_h.I -Sp12to4.O Span4Mux_s2_v.I -Sp12to4.O Span4Mux_s3_h.I -Sp12to4.O Span4Mux_s3_v.I -Sp12to4.O Span4Mux_v.I -Span12Mux_h.O LocalMux.I -Span12Mux_h.O Sp12to4.I -Span12Mux_h.O Span12Mux_s10_v.I -Span12Mux_h.O Span12Mux_s11_v.I -Span12Mux_h.O Span12Mux_s1_v.I -Span12Mux_h.O Span12Mux_s2_h.I -Span12Mux_h.O Span12Mux_s3_h.I -Span12Mux_h.O Span12Mux_s3_v.I -Span12Mux_h.O Span12Mux_s6_v.I -Span12Mux_h.O Span12Mux_s7_h.I -Span12Mux_h.O Span12Mux_s7_v.I -Span12Mux_h.O Span12Mux_s8_v.I -Span12Mux_h.O Span12Mux_s9_v.I -Span12Mux_h.O Span12Mux_v.I -Span12Mux_s0_h.O Sp12to4.I -Span12Mux_s10_h.O LocalMux.I -Span12Mux_s10_h.O Sp12to4.I -Span12Mux_s10_v.O LocalMux.I -Span12Mux_s10_v.O Sp12to4.I -Span12Mux_s11_h.O LocalMux.I -Span12Mux_s11_h.O Sp12to4.I -Span12Mux_s11_v.O LocalMux.I -Span12Mux_s11_v.O Sp12to4.I -Span12Mux_s1_v.O LocalMux.I -Span12Mux_s1_v.O Span12Mux_v.I -Span12Mux_s2_h.O LocalMux.I -Span12Mux_s2_h.O Sp12to4.I -Span12Mux_s2_v.O LocalMux.I -Span12Mux_s2_v.O Sp12to4.I -Span12Mux_s3_h.O LocalMux.I -Span12Mux_s3_h.O Sp12to4.I -Span12Mux_s3_v.O LocalMux.I -Span12Mux_s3_v.O Sp12to4.I -Span12Mux_s4_h.O LocalMux.I -Span12Mux_s4_h.O Sp12to4.I -Span12Mux_s4_v.O LocalMux.I -Span12Mux_s5_h.O Sp12to4.I -Span12Mux_s5_v.O LocalMux.I -Span12Mux_s6_h.O LocalMux.I -Span12Mux_s6_h.O Sp12to4.I -Span12Mux_s6_v.O LocalMux.I -Span12Mux_s6_v.O Sp12to4.I -Span12Mux_s7_h.O LocalMux.I -Span12Mux_s7_h.O Sp12to4.I -Span12Mux_s7_v.O LocalMux.I -Span12Mux_s7_v.O Sp12to4.I -Span12Mux_s8_h.O LocalMux.I -Span12Mux_s8_h.O Sp12to4.I -Span12Mux_s8_v.O LocalMux.I -Span12Mux_s8_v.O Sp12to4.I -Span12Mux_s9_h.O LocalMux.I -Span12Mux_s9_h.O Sp12to4.I -Span12Mux_s9_v.O LocalMux.I -Span12Mux_s9_v.O Sp12to4.I -Span12Mux_v.O LocalMux.I -Span12Mux_v.O Sp12to4.I -Span12Mux_v.O Span12Mux_h.I -Span12Mux_v.O Span12Mux_s0_h.I -Span12Mux_v.O Span12Mux_s10_h.I -Span12Mux_v.O Span12Mux_s10_v.I -Span12Mux_v.O Span12Mux_s11_h.I -Span12Mux_v.O Span12Mux_s11_v.I -Span12Mux_v.O Span12Mux_s2_h.I -Span12Mux_v.O Span12Mux_s3_h.I -Span12Mux_v.O Span12Mux_s4_h.I -Span12Mux_v.O Span12Mux_s5_h.I -Span12Mux_v.O Span12Mux_s6_h.I -Span12Mux_v.O Span12Mux_s7_h.I -Span12Mux_v.O Span12Mux_s7_v.I -Span12Mux_v.O Span12Mux_s8_h.I -Span12Mux_v.O Span12Mux_s9_v.I -Span12Mux_v.O Span12Mux_v.I -Span4Mux_h.O LocalMux.I -Span4Mux_h.O Span4Mux_h.I -Span4Mux_h.O Span4Mux_s0_v.I -Span4Mux_h.O Span4Mux_s1_h.I -Span4Mux_h.O Span4Mux_s1_v.I -Span4Mux_h.O Span4Mux_s2_h.I -Span4Mux_h.O Span4Mux_s2_v.I -Span4Mux_h.O Span4Mux_s3_h.I -Span4Mux_h.O Span4Mux_s3_v.I -Span4Mux_h.O Span4Mux_v.I -Span4Mux_s0_v.O IoSpan4Mux.I -Span4Mux_s0_v.O LocalMux.I -Span4Mux_s0_v.O Span4Mux_v.I -Span4Mux_s1_h.O LocalMux.I -Span4Mux_s1_v.O IoSpan4Mux.I -Span4Mux_s1_v.O LocalMux.I -Span4Mux_s2_h.O LocalMux.I -Span4Mux_s2_h.O Span4Mux_v.I -Span4Mux_s2_v.O IoSpan4Mux.I -Span4Mux_s2_v.O LocalMux.I -Span4Mux_s2_v.O Span4Mux_h.I -Span4Mux_s2_v.O Span4Mux_v.I -Span4Mux_s3_h.O LocalMux.I -Span4Mux_s3_h.O Span4Mux_v.I -Span4Mux_s3_v.O IoSpan4Mux.I -Span4Mux_s3_v.O LocalMux.I -Span4Mux_s3_v.O Span4Mux_h.I -Span4Mux_s3_v.O Span4Mux_v.I -Span4Mux_v.O LocalMux.I -Span4Mux_v.O Span4Mux_h.I -Span4Mux_v.O Span4Mux_s0_v.I -Span4Mux_v.O Span4Mux_s1_h.I -Span4Mux_v.O Span4Mux_s1_v.I -Span4Mux_v.O Span4Mux_s2_h.I -Span4Mux_v.O Span4Mux_s2_v.I -Span4Mux_v.O Span4Mux_s3_h.I -Span4Mux_v.O Span4Mux_s3_v.I -Span4Mux_v.O Span4Mux_v.I -gio2CtrlBuf.O GlobalMux.I diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 51c9c03..66357fa 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -601,7 +601,8 @@ bool is_primary(std::string cell_name, std::string out_port) std::string dsp_prefix = "SB_MAC16"; if(cell_type.substr(0, dsp_prefix.length()) == dsp_prefix) - return true; + return (cell_type != "SB_MAC16_MUL_U_16X16_BYPASS" && cell_type != "SB_MAC16_MUL_U_8X8_BYPASS" + && cell_type != "SB_MAC16_ADS_U_16P16_BYPASS" && cell_type != "SB_MAC16_ADS_U_32P32_BYPASS"); return false; } -- cgit v1.2.3 From aa2b857d7374246d8f026af32cb3a4f7ded867b0 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 29 Jan 2018 14:02:37 +0000 Subject: Updated 5k timing data, icetime regression fix --- icefuzz/.gitignore | 1 + icefuzz/icecube.sh | 9 +- icefuzz/timings_loop.sh | 5 + icefuzz/timings_up5k.txt | 25295 +++++++++++++++++++++++---------------------- icefuzz/tmedges.txt | 936 ++ icetime/icetime.cc | 11 +- 6 files changed, 13778 insertions(+), 12479 deletions(-) create mode 100644 icefuzz/timings_loop.sh diff --git a/icefuzz/.gitignore b/icefuzz/.gitignore index 0f08bbb..3b8c7e5 100644 --- a/icefuzz/.gitignore +++ b/icefuzz/.gitignore @@ -11,3 +11,4 @@ bitdata_*.txt data_*.txt database_*.txt timings_*.html +tmedges_unrenamed.tmp diff --git a/icefuzz/icecube.sh b/icefuzz/icecube.sh index 422ded6..5a1a7f2 100644 --- a/icefuzz/icecube.sh +++ b/icefuzz/icecube.sh @@ -183,6 +183,10 @@ case "${ICEDEV:-hx1k-tq144}" in iCEPACKAGE="SG48" iCE40DEV="iCE40UP5K" ;; + up5k-uwg30) + iCEPACKAGE="UWG30" + iCE40DEV="iCE40UP5K" + ;; *) echo "ERROR: Invalid \$ICEDEV device config '$ICEDEV'." exit 1 @@ -379,8 +383,8 @@ cat > foobar_sbt.project << EOT Implementations=foobar_Implmnt [foobar_Implmnt] -DeviceFamily=$( echo $iCE40DEV | sed -re 's,(HX).*,,'; ) -Device=$( echo $iCE40DEV | sed -re 's,iCE40,,'; ) +DeviceFamily=$( echo $iCE40DEV | sed -re 's,(HX|5K).*,,'; ) +Device=$( echo $iCE40DEV | sed -re 's,iCE40(UP)?,,'; ) DevicePackage=$iCEPACKAGE Devicevoltage=1.14 DevicevoltagePerformance=+/-5%(datasheet default) @@ -419,4 +423,3 @@ fi export LD_LIBRARY_PATH="" $scriptdir/../icepack/iceunpack "$1.bin" "$1.asc" - diff --git a/icefuzz/timings_loop.sh b/icefuzz/timings_loop.sh new file mode 100644 index 0000000..cc79ba0 --- /dev/null +++ b/icefuzz/timings_loop.sh @@ -0,0 +1,5 @@ +while true; do + rm -rf data_5k_*.txt work_5k_* + make DEVICECLASS=5k -j3 + make DEVICECLASS=5k timings +done diff --git a/icefuzz/timings_up5k.txt b/icefuzz/timings_up5k.txt index 07e7a61..6e2f32c 100644 --- a/icefuzz/timings_up5k.txt +++ b/icefuzz/timings_up5k.txt @@ -1,14 +1,14 @@ CELL CascadeBuf -IOPATH I O 210:210:210 260:260:260 +IOPATH I O 103.252:180.527:278.135 127.835:223.509:344.358 CELL CascadeMux IOPATH I O 0:0:0 0:0:0 CELL CEMux -IOPATH I O 530:530:530 370:370:370 +IOPATH I O 260.588:455.615:701.96 181.92:318.071:490.048 CELL ClkMux -IOPATH I O 670:670:670 700:700:700 +IOPATH I O 329.422:575.966:887.384 344.172:601.755:927.118 CELL DummyBuf IOPATH I O 0:0:0 0:0:0 @@ -17,19 +17,19 @@ CELL gio2CtrlBuf IOPATH I O 0:0:0 0:0:0 CELL Glb2LocalMux -IOPATH I O 440:440:440 400:400:400 +IOPATH I O 216.337:378.246:582.76 196.67:343.86:529.781 CELL GlobalMux -IOPATH I O 190:190:190 210:210:210 +IOPATH I O 93.4182:163.334:251.646 103.252:180.527:278.135 CELL ICE_CARRY_IN_MUX -IOPATH carryinitin carryinitout 420:420:420 340:340:340 +IOPATH carryinitin carryinitout 206.503:361.053:556.271 167.169:292.281:450.314 CELL ICE_GB -IOPATH USERSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 1200:1200:1200 600:600:600 +IOPATH USERSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 590.01:1031.58:1589.34 295.005:515.79:794.672 CELL InMux -IOPATH I O 500:500:500 380:380:380 +IOPATH I O 245.837:429.825:662.227 186.836:326.667:503.292 CELL INV IOPATH I O 0:0:0 0:0:0 @@ -51,13 +51,13 @@ IOPATH OE PACKAGEPIN 0:0:0 0:0:0 IOPATH PACKAGEPIN DOUT 0:0:0 0:0:0 CELL IoInMux -IOPATH I O 500:500:500 380:380:380 +IOPATH I O 245.837:429.825:662.227 186.836:326.667:503.292 CELL IoSpan4Mux -IOPATH I O 470:470:470 560:560:560 +IOPATH I O 231.087:404.036:622.493 275.338:481.404:741.694 CELL LocalMux -IOPATH I O 830:830:830 580:580:580 +IOPATH I O 408.09:713.51:1099.3 285.171:498.597:768.183 CELL LogicCell40 HOLD negedge:ce posedge:clk 0:0:0 @@ -65,80 +65,80 @@ HOLD negedge:in0 posedge:clk 0:0:0 HOLD negedge:in1 posedge:clk 0:0:0 HOLD negedge:in2 posedge:clk 0:0:0 HOLD negedge:in3 posedge:clk 0:0:0 -HOLD negedge:sr posedge:clk -390:-390:-390 +HOLD negedge:sr posedge:clk -191.753:-335.264:-516.537 HOLD posedge:ce posedge:clk 0:0:0 HOLD posedge:in0 posedge:clk 0:0:0 HOLD posedge:in1 posedge:clk 0:0:0 HOLD posedge:in2 posedge:clk 0:0:0 HOLD posedge:in3 posedge:clk 0:0:0 -HOLD posedge:sr posedge:clk -360:-360:-360 -RECOVERY negedge:sr posedge:clk 320:320:320 +HOLD posedge:sr posedge:clk -177.003:-309.474:-476.803 +RECOVERY negedge:sr posedge:clk 157.336:275.088:423.825 RECOVERY posedge:sr posedge:clk 0:0:0 REMOVAL negedge:sr posedge:clk 0:0:0 REMOVAL posedge:sr posedge:clk 0:0:0 SETUP negedge:ce posedge:clk 0:0:0 -SETUP negedge:in0 posedge:clk 800:800:800 -SETUP negedge:in1 posedge:clk 760:760:760 -SETUP negedge:in2 posedge:clk 650:650:650 -SETUP negedge:in3 posedge:clk 440:440:440 -SETUP negedge:sr posedge:clk 290:290:290 +SETUP negedge:in0 posedge:clk 393.34:687.72:1059.56 +SETUP negedge:in1 posedge:clk 373.673:653.334:1006.58 +SETUP negedge:in2 posedge:clk 319.589:558.773:860.895 +SETUP negedge:in3 posedge:clk 216.337:378.246:582.76 +SETUP negedge:sr posedge:clk 142.586:249.299:384.092 SETUP posedge:ce posedge:clk 0:0:0 -SETUP posedge:in0 posedge:clk 930:930:930 -SETUP posedge:in1 posedge:clk 800:800:800 -SETUP posedge:in2 posedge:clk 740:740:740 -SETUP posedge:in3 posedge:clk 550:550:550 -SETUP posedge:sr posedge:clk 400:400:400 -IOPATH carryin carryout 210:210:210 210:210:210 -IOPATH in0 lcout 940:940:940 970:970:970 -IOPATH in0 ltout 640:640:640 680:680:680 -IOPATH in1 carryout 510:510:510 500:500:500 -IOPATH in1 lcout 890:890:890 930:930:930 -IOPATH in1 ltout 560:560:560 670:670:670 -IOPATH in2 carryout 460:460:460 270:270:270 -IOPATH in2 lcout 890:890:890 910:910:910 -IOPATH in2 ltout 530:530:530 590:590:590 -IOPATH in3 lcout 650:650:650 660:660:660 -IOPATH in3 ltout 440:440:440 460:460:460 -IOPATH posedge:clk lcout 1050:1050:1050 1050:1050:1050 -IOPATH sr lcout 0:0:0 1200:1200:1200 -IOPATH sr lcout 854.3:854.3:854.3 0:0:0 +SETUP posedge:in0 posedge:clk 457.258:799.475:1231.74 +SETUP posedge:in1 posedge:clk 393.34:687.72:1059.56 +SETUP posedge:in2 posedge:clk 363.839:636.141:980.096 +SETUP posedge:in3 posedge:clk 270.421:472.808:728.45 +SETUP posedge:sr posedge:clk 196.67:343.86:529.781 +IOPATH carryin carryout 103.252:180.527:278.135 103.252:180.527:278.135 +IOPATH in0 lcout 462.174:808.071:1244.99 476.925:833.861:1284.72 +IOPATH in0 ltout 314.672:550.176:847.65 334.339:584.562:900.628 +IOPATH in1 carryout 250.754:438.422:675.471 245.837:429.825:662.227 +IOPATH in1 lcout 437.591:765.089:1178.76 457.258:799.475:1231.74 +IOPATH in1 ltout 275.338:481.404:741.694 329.422:575.966:887.384 +IOPATH in2 carryout 226.17:395.439:609.249 132.752:232.106:357.602 +IOPATH in2 lcout 437.591:765.089:1178.76 447.424:782.282:1205.25 +IOPATH in2 ltout 260.588:455.615:701.96 290.088:507.194:781.428 +IOPATH in3 lcout 319.589:558.773:860.895 324.505:567.369:874.139 +IOPATH in3 ltout 216.337:378.246:582.76 226.17:395.439:609.249 +IOPATH posedge:clk lcout 516.259:902.633:1390.68 516.259:902.633:1390.68 +IOPATH sr lcout 0:0:0 590.01:1031.58:1589.34 +IOPATH sr lcout 420.038:734.399:1131.48 0:0:0 CELL Odrv4 -IOPATH I O 450:450:450 490:490:490 +IOPATH I O 221.254:386.843:596.004 240.921:421.229:648.982 CELL Odrv12 -IOPATH I O 810:810:810 930:930:930 +IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74 CELL PLL40 HOLD negedge:SDI negedge:SCLK 0:0:0 HOLD posedge:SDI negedge:SCLK 0:0:0 -SETUP negedge:SDI negedge:SCLK 1000:1000:1000 -SETUP posedge:SDI negedge:SCLK 1000:1000:1000 -IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000 -IOPATH PLLIN PLLOUTCORE *:*:* *:*:* -IOPATH PLLIN PLLOUTGLOBAL *:*:* *:*:* +SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45 +SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45 +IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45 +IOPATH PLLIN PLLOUTCORE *:*:* *:*:* +IOPATH PLLIN PLLOUTGLOBAL *:*:* *:*:* CELL PLL40_2 HOLD negedge:SDI negedge:SCLK 0:0:0 HOLD posedge:SDI negedge:SCLK 0:0:0 -SETUP negedge:SDI negedge:SCLK 1000:1000:1000 -SETUP posedge:SDI negedge:SCLK 1000:1000:1000 -IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000 -IOPATH PLLIN PLLOUTCOREA *:*:* *:*:* -IOPATH PLLIN PLLOUTCOREB *:*:* *:*:* -IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:* -IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:* +SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45 +SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45 +IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45 +IOPATH PLLIN PLLOUTCOREA *:*:* *:*:* +IOPATH PLLIN PLLOUTCOREB *:*:* *:*:* +IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:* +IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:* CELL PLL40_2F HOLD negedge:SDI negedge:SCLK 0:0:0 HOLD posedge:SDI negedge:SCLK 0:0:0 -SETUP negedge:SDI negedge:SCLK 1000:1000:1000 -SETUP posedge:SDI negedge:SCLK 1000:1000:1000 -IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000 -IOPATH PLLIN PLLOUTCOREA *:*:* *:*:* -IOPATH PLLIN PLLOUTCOREB *:*:* *:*:* -IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:* -IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:* +SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45 +SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45 +IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45 +IOPATH PLLIN PLLOUTCOREA *:*:* *:*:* +IOPATH PLLIN PLLOUTCOREB *:*:* *:*:* +IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:* +IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:* CELL PRE_IO HOLD negedge:CLOCKENABLE posedge:INPUTCLK 0:0:0 @@ -155,252 +155,252 @@ HOLD posedge:DOUT1 negedge:OUTPUTCLK 0:0:0 HOLD posedge:OUTPUTENABLE posedge:OUTPUTCLK 0:0:0 HOLD posedge:PADIN negedge:INPUTCLK 0:0:0 HOLD posedge:PADIN posedge:INPUTCLK 0:0:0 -SETUP negedge:CLOCKENABLE posedge:INPUTCLK 141.1:141.1:141.1 -SETUP negedge:CLOCKENABLE posedge:OUTPUTCLK 141.1:141.1:141.1 -SETUP negedge:DOUT0 posedge:OUTPUTCLK 141.1:141.1:141.1 -SETUP negedge:DOUT1 negedge:OUTPUTCLK 141.1:141.1:141.1 -SETUP negedge:OUTPUTENABLE posedge:OUTPUTCLK 141.1:141.1:141.1 -SETUP negedge:PADIN negedge:INPUTCLK 3146.1:3146.1:3146.1 -SETUP negedge:PADIN posedge:INPUTCLK 3146.1:3146.1:3146.1 -SETUP posedge:CLOCKENABLE posedge:INPUTCLK 153.2:153.2:153.2 -SETUP posedge:CLOCKENABLE posedge:OUTPUTCLK 153.2:153.2:153.2 -SETUP posedge:DOUT0 posedge:OUTPUTCLK 153.2:153.2:153.2 -SETUP posedge:DOUT1 negedge:OUTPUTCLK 153.2:153.2:153.2 -SETUP posedge:OUTPUTENABLE posedge:OUTPUTCLK 153.2:153.2:153.2 -SETUP posedge:PADIN negedge:INPUTCLK 3158.2:3158.2:3158.2 -SETUP posedge:PADIN posedge:INPUTCLK 3158.2:3158.2:3158.2 -IOPATH DOUT0 PADOUT 570:570:570 580:580:580 -IOPATH LATCHINPUTVALUE DIN0 492.3:492.3:492.3 531.8:531.8:531.8 -IOPATH negedge:INPUTCLK DIN1 752:752:752 602:602:602 -IOPATH negedge:OUTPUTCLK PADOUT 1002:1002:1002 1159:1159:1159 -IOPATH OUTPUTENABLE PADOEN 344.3:344.3:344.3 403.3:403.3:403.3 -IOPATH PADIN DIN0 370:370:370 370:370:370 -IOPATH posedge:INPUTCLK DIN0 759:759:759 667:667:667 -IOPATH posedge:OUTPUTCLK PADOEN 1067:1067:1067 1152:1152:1152 -IOPATH posedge:OUTPUTCLK PADOUT 1067:1067:1067 1152:1152:1152 +SETUP negedge:CLOCKENABLE posedge:INPUTCLK 69.3753:121.297:186.88 +SETUP negedge:CLOCKENABLE posedge:OUTPUTCLK 69.3753:121.297:186.88 +SETUP negedge:DOUT0 posedge:OUTPUTCLK 69.3753:121.297:186.88 +SETUP negedge:DOUT1 negedge:OUTPUTCLK 69.3753:121.297:186.88 +SETUP negedge:OUTPUTENABLE posedge:OUTPUTCLK 69.3753:121.297:186.88 +SETUP negedge:PADIN negedge:INPUTCLK 1546.86:2704.55:4166.86 +SETUP negedge:PADIN posedge:INPUTCLK 1546.86:2704.55:4166.86 +SETUP posedge:CLOCKENABLE posedge:INPUTCLK 75.3246:131.698:202.906 +SETUP posedge:CLOCKENABLE posedge:OUTPUTCLK 75.3246:131.698:202.906 +SETUP posedge:DOUT0 posedge:OUTPUTCLK 75.3246:131.698:202.906 +SETUP posedge:DOUT1 negedge:OUTPUTCLK 75.3246:131.698:202.906 +SETUP posedge:OUTPUTENABLE posedge:OUTPUTCLK 75.3246:131.698:202.906 +SETUP posedge:PADIN negedge:INPUTCLK 1552.81:2714.95:4182.89 +SETUP posedge:PADIN posedge:INPUTCLK 1552.81:2714.95:4182.89 +IOPATH DOUT0 PADOUT 280.255:490.001:754.939 285.171:498.597:768.183 +IOPATH LATCHINPUTVALUE DIN0 242.052:423.206:652.029 261.473:457.162:704.344 +IOPATH negedge:INPUTCLK DIN1 369.74:646.457:995.989 295.988:517.51:797.321 +IOPATH negedge:OUTPUTCLK PADOUT 492.658:861.37:1327.1 569.851:996.335:1535.04 +IOPATH OUTPUTENABLE PADOEN 169.284:295.978:456.009 198.292:346.697:534.152 +IOPATH PADIN DIN0 181.92:318.071:490.048 181.92:318.071:490.048 +IOPATH posedge:INPUTCLK DIN0 373.181:652.475:1005.26 327.947:573.387:883.411 +IOPATH posedge:OUTPUTCLK PADOEN 524.617:917.247:1413.19 566.409:990.317:1525.77 +IOPATH posedge:OUTPUTCLK PADOUT 524.617:917.247:1413.19 566.409:990.317:1525.77 CELL PRE_IO_GBUF -IOPATH PADSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 3300:3300:3300 2250:2250:2250 +IOPATH PADSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 1622.53:2836.85:4370.7 1106.27:1934.21:2980.02 CELL SB_LEDDA_IP -HOLD negedge:LEDDADDR0 posedge:LEDDCLK -118.471:-118.471:-118.471 -HOLD negedge:LEDDADDR1 posedge:LEDDCLK -168.15:-168.15:-168.15 -HOLD negedge:LEDDADDR2 posedge:LEDDCLK -100.982:-100.982:-100.982 -HOLD negedge:LEDDADDR3 posedge:LEDDCLK -184.814:-184.814:-184.814 -HOLD negedge:LEDDCS posedge:LEDDCLK -128.431:-128.431:-128.431 -HOLD negedge:LEDDDAT0 posedge:LEDDCLK -59.044:-59.044:-59.044 -HOLD negedge:LEDDDAT1 posedge:LEDDCLK -52.167:-52.167:-52.167 -HOLD negedge:LEDDDAT2 posedge:LEDDCLK -54.271:-54.271:-54.271 -HOLD negedge:LEDDDAT3 posedge:LEDDCLK -71.202:-71.202:-71.202 -HOLD negedge:LEDDDAT4 posedge:LEDDCLK -46.925:-46.925:-46.925 -HOLD negedge:LEDDDAT5 posedge:LEDDCLK -71.453:-71.453:-71.453 -HOLD negedge:LEDDDAT6 posedge:LEDDCLK -70.343:-70.343:-70.343 -HOLD negedge:LEDDDAT7 posedge:LEDDCLK -76.846:-76.846:-76.846 -HOLD negedge:LEDDDEN posedge:LEDDCLK -126.858:-126.858:-126.858 -HOLD negedge:LEDDEXE posedge:LEDDCLK -416.4:-416.4:-416.4 -HOLD posedge:LEDDADDR0 posedge:LEDDCLK -79.021:-79.021:-79.021 -HOLD posedge:LEDDADDR1 posedge:LEDDCLK -156.227:-156.227:-156.227 -HOLD posedge:LEDDADDR2 posedge:LEDDCLK -133.748:-133.748:-133.748 -HOLD posedge:LEDDADDR3 posedge:LEDDCLK -124.554:-124.554:-124.554 -HOLD posedge:LEDDCS posedge:LEDDCLK -177.272:-177.272:-177.272 -HOLD posedge:LEDDDAT0 posedge:LEDDCLK -7.107:-7.107:-7.107 -HOLD posedge:LEDDDAT1 posedge:LEDDCLK 4.108:4.108:4.108 -HOLD posedge:LEDDDAT2 posedge:LEDDCLK -2.752:-2.752:-2.752 -HOLD posedge:LEDDDAT3 posedge:LEDDCLK -23.233:-23.233:-23.233 -HOLD posedge:LEDDDAT4 posedge:LEDDCLK 7.425:7.425:7.425 -HOLD posedge:LEDDDAT5 posedge:LEDDCLK -25.802:-25.802:-25.802 -HOLD posedge:LEDDDAT6 posedge:LEDDCLK -19.532:-19.532:-19.532 -HOLD posedge:LEDDDAT7 posedge:LEDDCLK -29.246:-29.246:-29.246 -HOLD posedge:LEDDDEN posedge:LEDDCLK -175.695:-175.695:-175.695 -HOLD posedge:LEDDEXE posedge:LEDDCLK -388.405:-388.405:-388.405 -SETUP negedge:LEDDADDR0 posedge:LEDDCLK 947.725:947.725:947.725 -SETUP negedge:LEDDADDR1 posedge:LEDDCLK 1015.52:1015.52:1015.52 -SETUP negedge:LEDDADDR2 posedge:LEDDCLK 1131.11:1131.11:1131.11 -SETUP negedge:LEDDADDR3 posedge:LEDDCLK 1032.51:1032.51:1032.51 -SETUP negedge:LEDDCS posedge:LEDDCLK 1129.14:1129.14:1129.14 -SETUP negedge:LEDDDAT0 posedge:LEDDCLK 222.996:222.996:222.996 -SETUP negedge:LEDDDAT1 posedge:LEDDCLK 217.929:217.929:217.929 -SETUP negedge:LEDDDAT2 posedge:LEDDCLK 759.336:759.336:759.336 -SETUP negedge:LEDDDAT3 posedge:LEDDCLK 200.705:200.705:200.705 -SETUP negedge:LEDDDAT4 posedge:LEDDCLK 746.712:746.712:746.712 -SETUP negedge:LEDDDAT5 posedge:LEDDCLK 794.668:794.668:794.668 -SETUP negedge:LEDDDAT6 posedge:LEDDCLK 199.819:199.819:199.819 -SETUP negedge:LEDDDAT7 posedge:LEDDCLK 213.401:213.401:213.401 -SETUP negedge:LEDDDEN posedge:LEDDCLK 1131.12:1131.12:1131.12 -SETUP negedge:LEDDEXE posedge:LEDDCLK 573.883:573.883:573.883 -SETUP posedge:LEDDADDR0 posedge:LEDDCLK 1027.51:1027.51:1027.51 -SETUP posedge:LEDDADDR1 posedge:LEDDCLK 956.777:956.777:956.777 -SETUP posedge:LEDDADDR2 posedge:LEDDCLK 1054.66:1054.66:1054.66 -SETUP posedge:LEDDADDR3 posedge:LEDDCLK 1048.44:1048.44:1048.44 -SETUP posedge:LEDDCS posedge:LEDDCLK 1150.51:1150.51:1150.51 -SETUP posedge:LEDDDAT0 posedge:LEDDCLK 197.481:197.481:197.481 -SETUP posedge:LEDDDAT1 posedge:LEDDCLK 185.641:185.641:185.641 -SETUP posedge:LEDDDAT2 posedge:LEDDCLK 740.015:740.015:740.015 -SETUP posedge:LEDDDAT3 posedge:LEDDCLK 166.2:166.2:166.2 -SETUP posedge:LEDDDAT4 posedge:LEDDCLK 728.868:728.868:728.868 -SETUP posedge:LEDDDAT5 posedge:LEDDCLK 784.372:784.372:784.372 -SETUP posedge:LEDDDAT6 posedge:LEDDCLK 165.402:165.402:165.402 -SETUP posedge:LEDDDAT7 posedge:LEDDCLK 176.6:176.6:176.6 -SETUP posedge:LEDDDEN posedge:LEDDCLK 1146.55:1146.55:1146.55 -SETUP posedge:LEDDEXE posedge:LEDDCLK 555.094:555.094:555.094 -IOPATH posedge:LEDDCLK LEDDON 571.171:571.171:571.171 580.411:580.411:580.411 -IOPATH posedge:LEDDCLK PWMOUT0 550.594:550.594:550.594 564.867:564.867:564.867 -IOPATH posedge:LEDDCLK PWMOUT1 579.326:579.326:579.326 586.133:586.133:586.133 -IOPATH posedge:LEDDCLK PWMOUT2 568.55:568.55:568.55 575.937:575.937:575.937 +HOLD negedge:LEDDADDR0 posedge:LEDDCLK -58.2492:-101.844:-156.909 +HOLD negedge:LEDDADDR1 posedge:LEDDCLK -82.6751:-144.55:-222.707 +HOLD negedge:LEDDADDR2 posedge:LEDDCLK -49.6503:-86.8092:-133.746 +HOLD negedge:LEDDADDR3 posedge:LEDDCLK -90.8684:-158.875:-244.778 +HOLD negedge:LEDDCS posedge:LEDDCLK -63.1463:-110.406:-170.101 +HOLD negedge:LEDDDAT0 posedge:LEDDCLK -29.0305:-50.7572:-78.201 +HOLD negedge:LEDDDAT1 posedge:LEDDCLK -25.6492:-44.8454:-69.0928 +HOLD negedge:LEDDDAT2 posedge:LEDDCLK -26.6837:-46.6541:-71.8794 +HOLD negedge:LEDDDAT3 posedge:LEDDCLK -35.0082:-61.2088:-94.3037 +HOLD negedge:LEDDDAT4 posedge:LEDDCLK -23.0718:-40.3391:-62.15 +HOLD negedge:LEDDDAT5 posedge:LEDDCLK -35.1316:-61.4246:-94.6362 +HOLD negedge:LEDDDAT6 posedge:LEDDCLK -34.5859:-60.4704:-93.166 +HOLD negedge:LEDDDAT7 posedge:LEDDCLK -37.7832:-66.0607:-101.779 +HOLD negedge:LEDDDEN posedge:LEDDCLK -62.3729:-109.054:-168.018 +HOLD negedge:LEDDEXE posedge:LEDDCLK -204.733:-357.958:-551.503 +HOLD posedge:LEDDADDR0 posedge:LEDDCLK -38.8526:-67.9304:-104.66 +HOLD posedge:LEDDADDR1 posedge:LEDDCLK -76.8129:-134.301:-206.915 +HOLD posedge:LEDDADDR2 posedge:LEDDCLK -65.7605:-114.977:-177.143 +HOLD posedge:LEDDADDR3 posedge:LEDDCLK -61.2401:-107.073:-164.966 +HOLD posedge:LEDDCS posedge:LEDDCLK -87.1602:-152.392:-234.789 +HOLD posedge:LEDDDAT0 posedge:LEDDCLK -3.49433:-6.10954:-9.41289 +HOLD posedge:LEDDDAT1 posedge:LEDDCLK 2.0198:3.53144:5.44086 +HOLD posedge:LEDDDAT2 posedge:LEDDCLK -1.35309:-2.36576:-3.6449 +HOLD posedge:LEDDDAT3 posedge:LEDDCLK -11.4231:-19.9723:-30.771 +HOLD posedge:LEDDDAT4 posedge:LEDDCLK 3.65069:6.38291:9.83407 +HOLD posedge:LEDDDAT5 posedge:LEDDCLK -12.6862:-22.1807:-34.1736 +HOLD posedge:LEDDDAT6 posedge:LEDDCLK -9.60339:-16.7907:-25.8692 +HOLD posedge:LEDDDAT7 posedge:LEDDCLK -14.3795:-25.1413:-38.735 +HOLD posedge:LEDDDEN posedge:LEDDCLK -86.3848:-151.036:-232.7 +HOLD posedge:LEDDEXE posedge:LEDDCLK -190.969:-333.893:-514.424 +SETUP negedge:LEDDADDR0 posedge:LEDDCLK 465.973:814.712:1255.22 +SETUP negedge:LEDDADDR1 posedge:LEDDCLK 499.305:872.991:1345.01 +SETUP negedge:LEDDADDR2 posedge:LEDDCLK 556.14:972.362:1498.11 +SETUP negedge:LEDDADDR3 posedge:LEDDCLK 507.66:887.599:1367.51 +SETUP negedge:LEDDCS posedge:LEDDCLK 555.168:970.663:1495.49 +SETUP negedge:LEDDDAT0 posedge:LEDDCLK 109.642:191.699:295.348 +SETUP negedge:LEDDDAT1 posedge:LEDDCLK 107.15:187.343:288.637 +SETUP negedge:LEDDDAT2 posedge:LEDDCLK 373.346:652.764:1005.71 +SETUP negedge:LEDDDAT3 posedge:LEDDCLK 98.6816:172.536:265.824 +SETUP negedge:LEDDDAT4 posedge:LEDDCLK 367.14:641.911:988.985 +SETUP negedge:LEDDDAT5 posedge:LEDDCLK 390.718:683.137:1052.5 +SETUP negedge:LEDDDAT6 posedge:LEDDCLK 98.246:171.775:264.651 +SETUP negedge:LEDDDAT7 posedge:LEDDCLK 104.924:183.45:282.64 +SETUP negedge:LEDDDEN posedge:LEDDCLK 556.142:972.365:1498.11 +SETUP negedge:LEDDEXE posedge:LEDDCLK 282.164:493.339:760.081 +SETUP posedge:LEDDADDR0 posedge:LEDDCLK 505.199:883.296:1360.88 +SETUP posedge:LEDDADDR1 posedge:LEDDCLK 470.423:822.494:1267.21 +SETUP posedge:LEDDADDR2 posedge:LEDDCLK 518.549:906.638:1396.85 +SETUP posedge:LEDDADDR3 posedge:LEDDCLK 515.49:901.289:1388.6 +SETUP posedge:LEDDCS posedge:LEDDCLK 565.679:989.04:1523.8 +SETUP posedge:LEDDDAT0 posedge:LEDDCLK 97.0964:169.765:261.554 +SETUP posedge:LEDDDAT1 posedge:LEDDCLK 91.275:159.586:245.873 +SETUP posedge:LEDDDAT2 posedge:LEDDCLK 363.847:636.154:980.116 +SETUP posedge:LEDDDAT3 posedge:LEDDCLK 81.7164:142.874:220.124 +SETUP posedge:LEDDDAT4 posedge:LEDDCLK 358.366:626.572:965.352 +SETUP posedge:LEDDDAT5 posedge:LEDDCLK 385.656:674.286:1038.86 +SETUP posedge:LEDDDAT6 posedge:LEDDCLK 81.324:142.188:219.067 +SETUP posedge:LEDDDAT7 posedge:LEDDCLK 86.8298:151.814:233.899 +SETUP posedge:LEDDDEN posedge:LEDDCLK 563.73:985.633:1518.55 +SETUP posedge:LEDDEXE posedge:LEDDCLK 272.926:477.187:735.196 +IOPATH posedge:LEDDCLK LEDDON 280.83:491.007:756.49 285.374:498.951:768.727 +IOPATH posedge:LEDDCLK PWMOUT0 270.713:473.318:729.236 277.731:485.588:748.14 +IOPATH posedge:LEDDCLK PWMOUT1 284.84:498.018:767.29 288.187:503.87:776.306 +IOPATH posedge:LEDDCLK PWMOUT2 279.542:488.754:753.018 283.174:495.105:762.802 CELL SB_MAC16_ACC_U_16P16_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6522:44.8505:69.1007 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0836:141.768:218.42 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -413,345 +413,345 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.85:2654.85:2654.85 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 674.109:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.751:1183.24:1823 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7973:-46.8527:-72.1854 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8006:-18.8839:-29.0943 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.975:295.439:455.179 +SETUP negedge:OLOADTOP posedge:CLK 137.087:239.685:369.28 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.808:1214.81:1871.65 +SETUP posedge:ADDSUBTOP posedge:CLK 695.378:1215.81:1873.18 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.075:-31.6025:-48.6896 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7245:-20.4992:-31.5829 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.594:254.558:392.195 +IOPATH ADDSUBTOP ACCUMCO 787.543:1376.95:2121.45 929.935:1625.91:2505.02 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.33:2282.25:3516.23 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.77:2751.61:4239.37 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 621.836:1087.23:1675.08 672.218:1175.32:1810.79 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.618:1188.25:1830.73 736.514:1287.73:1983.99 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.383:1194.84:1840.87 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.5:1065.66:1641.85 673.926:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 718.342:1255.96:1935.04 697.215:1219.02:1878.13 CELL SB_MAC16_ACC_U_16P16_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3041:173.624:267.501 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.1688:147.162:226.731 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.363:729.722:1124.27 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -764,379 +764,379 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 -SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 -SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 -SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 -SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 -SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 -SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 -SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 -SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 -SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 -SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 -SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 -SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 -SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 -SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 -SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 1830.18:1830.18:1830.18 -SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 -SETUP negedge:B[2] posedge:CLK 1895.14:1895.14:1895.14 -SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 -SETUP negedge:B[4] posedge:CLK 1809.26:1809.26:1809.26 -SETUP negedge:B[5] posedge:CLK 1922.6:1922.6:1922.6 -SETUP negedge:B[6] posedge:CLK 1744.86:1744.86:1744.86 -SETUP negedge:B[7] posedge:CLK 1844.86:1844.86:1844.86 -SETUP negedge:B[8] posedge:CLK 1784.65:1784.65:1784.65 -SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 -SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 -SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 -SETUP negedge:B[12] posedge:CLK 1868.01:1868.01:1868.01 -SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 -SETUP negedge:B[14] posedge:CLK 1687.7:1687.7:1687.7 -SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 -SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 -SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 -SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 -SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 -SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 -SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 -SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 -SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 -SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 -SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 -SETUP posedge:A[2] posedge:CLK 1683.33:1683.33:1683.33 -SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 -SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 -SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 -SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 -SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 -SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 -SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 -SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 -SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 -SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 -SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 -SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 -SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.02:1414.02:1414.02 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 -SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 -SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 -SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 -SETUP posedge:B[4] posedge:CLK 1925.27:1925.27:1925.27 -SETUP posedge:B[5] posedge:CLK 2023.7:2023.7:2023.7 -SETUP posedge:B[6] posedge:CLK 1828.33:1828.33:1828.33 -SETUP posedge:B[7] posedge:CLK 1944.23:1944.23:1944.23 -SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 -SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 -SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 -SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 -SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 -SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 -SETUP posedge:B[14] posedge:CLK 1728.21:1728.21:1728.21 -SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 -SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 -SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 -SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 -SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 -SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 -SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 -SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 -SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 -IOPATH A[0] ACCUMCO 1475.35:1475.35:1475.35 1934.41:1934.41:1934.41 -IOPATH A[0] CO 2047.76:2047.76:2047.76 2480.4:2480.4:2480.4 -IOPATH A[1] ACCUMCO 1714.57:1714.57:1714.57 1994.74:1994.74:1994.74 -IOPATH A[1] CO 2286.97:2286.97:2286.97 2540.73:2540.73:2540.73 -IOPATH A[2] ACCUMCO 1652.4:1652.4:1652.4 1867.19:1867.19:1867.19 -IOPATH A[2] CO 2224.81:2224.81:2224.81 2413.18:2413.18:2413.18 -IOPATH A[3] ACCUMCO 1565.21:1565.21:1565.21 1796.17:1796.17:1796.17 -IOPATH A[3] CO 2137.61:2137.61:2137.61 2342.15:2342.15:2342.15 -IOPATH A[4] ACCUMCO 1603.79:1603.79:1603.79 2005.48:2005.48:2005.48 -IOPATH A[4] CO 2176.19:2176.19:2176.19 2551.46:2551.46:2551.46 -IOPATH A[5] ACCUMCO 1541.89:1541.89:1541.89 1839.14:1839.14:1839.14 -IOPATH A[5] CO 2114.29:2114.29:2114.29 2385.13:2385.13:2385.13 -IOPATH A[6] ACCUMCO 1688.15:1688.15:1688.15 1939.64:1939.64:1939.64 -IOPATH A[6] CO 2260.55:2260.55:2260.55 2485.63:2485.63:2485.63 -IOPATH A[7] ACCUMCO 1614.5:1614.5:1614.5 1860.55:1860.55:1860.55 -IOPATH A[7] CO 2186.9:2186.9:2186.9 2406.54:2406.54:2406.54 -IOPATH A[8] ACCUMCO 1574.92:1574.92:1574.92 1835.69:1835.69:1835.69 -IOPATH A[8] CO 2147.33:2147.33:2147.33 2381.67:2381.67:2381.67 -IOPATH A[9] ACCUMCO 1699.8:1699.8:1699.8 1958.06:1958.06:1958.06 -IOPATH A[9] CO 2272.2:2272.2:2272.2 2504.04:2504.04:2504.04 -IOPATH A[10] ACCUMCO 1580.63:1580.63:1580.63 1733.85:1733.85:1733.85 -IOPATH A[10] CO 2153.03:2153.03:2153.03 2279.83:2279.83:2279.83 -IOPATH A[11] ACCUMCO 1431.09:1431.09:1431.09 1593.46:1593.46:1593.46 -IOPATH A[11] CO 2003.49:2003.49:2003.49 2139.45:2139.45:2139.45 -IOPATH A[12] ACCUMCO 1295.31:1295.31:1295.31 1626.47:1626.47:1626.47 -IOPATH A[12] CO 1867.71:1867.71:1867.71 2172.46:2172.46:2172.46 -IOPATH A[13] ACCUMCO 1379.45:1379.45:1379.45 1661.08:1661.08:1661.08 -IOPATH A[13] CO 1951.85:1951.85:1951.85 2207.06:2207.06:2207.06 -IOPATH A[14] ACCUMCO 1429.38:1429.38:1429.38 1649.43:1649.43:1649.43 -IOPATH A[14] CO 2001.79:2001.79:2001.79 2195.42:2195.42:2195.42 -IOPATH A[15] ACCUMCO 1508.68:1508.68:1508.68 1808.14:1808.14:1808.14 -IOPATH A[15] CO 2081.09:2081.09:2081.09 2354.13:2354.13:2354.13 -IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH posedge:CLK ACCUMCO 2021.43:2021.43:2021.43 2326.07:2326.07:2326.07 -IOPATH posedge:CLK CO 2593.83:2593.83:2593.83 2872.06:2872.06:2872.06 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +SETUP negedge:A[0] posedge:CLK 774.079:1353.41:2085.18 +SETUP negedge:A[1] posedge:CLK 824.51:1441.58:2221.03 +SETUP negedge:A[2] posedge:CLK 829.149:1449.69:2233.53 +SETUP negedge:A[3] posedge:CLK 844.888:1477.21:2275.93 +SETUP negedge:A[4] posedge:CLK 894.618:1564.16:2409.88 +SETUP negedge:A[5] posedge:CLK 938.135:1640.25:2527.11 +SETUP negedge:A[6] posedge:CLK 894.689:1564.29:2410.08 +SETUP negedge:A[7] posedge:CLK 908.849:1589.04:2448.22 +SETUP negedge:A[8] posedge:CLK 753.527:1317.48:2029.82 +SETUP negedge:A[9] posedge:CLK 837.231:1463.82:2255.3 +SETUP negedge:A[10] posedge:CLK 803.699:1405.2:2164.97 +SETUP negedge:A[11] posedge:CLK 806.269:1409.69:2171.89 +SETUP negedge:A[12] posedge:CLK 841.01:1470.43:2265.48 +SETUP negedge:A[13] posedge:CLK 853.668:1492.56:2299.58 +SETUP negedge:A[14] posedge:CLK 863.303:1509.41:2325.53 +SETUP negedge:A[15] posedge:CLK 869.885:1520.92:2343.26 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.675:1183.11:1822.8 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK 899.855:1573.32:2423.99 +SETUP negedge:B[1] posedge:CLK 968.305:1693:2608.38 +SETUP negedge:B[2] posedge:CLK 931.794:1629.16:2510.03 +SETUP negedge:B[3] posedge:CLK 978.168:1710.24:2634.95 +SETUP negedge:B[4] posedge:CLK 889.566:1555.33:2396.28 +SETUP negedge:B[5] posedge:CLK 945.295:1652.77:2546.4 +SETUP negedge:B[6] posedge:CLK 857.905:1499.97:2310.99 +SETUP negedge:B[7] posedge:CLK 907.072:1585.94:2443.43 +SETUP negedge:B[8] posedge:CLK 877.47:1534.18:2363.69 +SETUP negedge:B[9] posedge:CLK 988.921:1729.04:2663.92 +SETUP negedge:B[10] posedge:CLK 928.586:1623.55:2501.39 +SETUP negedge:B[11] posedge:CLK 1009.3:1764.67:2718.8 +SETUP negedge:B[12] posedge:CLK 918.456:1605.84:2474.1 +SETUP negedge:B[13] posedge:CLK 940.416:1644.23:2533.25 +SETUP negedge:B[14] posedge:CLK 829.801:1450.83:2235.28 +SETUP negedge:B[15] posedge:CLK 912.428:1595.3:2457.86 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.4506:147.654:227.489 +SETUP negedge:C[1] posedge:CLK 80.648:141.006:217.246 +SETUP negedge:C[2] posedge:CLK 74.1524:129.649:199.749 +SETUP negedge:C[3] posedge:CLK 68.274:119.371:183.914 +SETUP negedge:C[4] posedge:CLK 73.5305:128.562:198.073 +SETUP negedge:C[5] posedge:CLK 64.6543:113.042:174.163 +SETUP negedge:C[6] posedge:CLK 80.525:140.791:216.915 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.8035:120.297:185.34 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 70.5106:123.282:189.939 +SETUP negedge:C[15] posedge:CLK 67.4637:117.954:181.731 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK 108.147:189.086:291.323 +SETUP negedge:D[1] posedge:CLK 92.7589:162.181:249.87 +SETUP negedge:D[2] posedge:CLK 85.7392:149.908:230.961 +SETUP negedge:D[3] posedge:CLK 66.5079:116.283:179.156 +SETUP negedge:D[4] posedge:CLK 87.1725:152.413:234.822 +SETUP negedge:D[5] posedge:CLK 94.1631:164.636:253.653 +SETUP negedge:D[6] posedge:CLK 76.365:133.517:205.709 +SETUP negedge:D[7] posedge:CLK 74.2891:129.888:200.117 +SETUP negedge:D[8] posedge:CLK 73.7906:129.016:198.774 +SETUP negedge:D[9] posedge:CLK 72.8397:127.354:196.213 +SETUP negedge:D[10] posedge:CLK 83.4549:145.914:224.807 +SETUP negedge:D[11] posedge:CLK 70.5077:123.276:189.931 +SETUP negedge:D[12] posedge:CLK 69.631:121.744:187.569 +SETUP negedge:D[13] posedge:CLK 53.8276:94.1128:144.999 +SETUP negedge:D[14] posedge:CLK 86.0746:150.494:231.864 +SETUP negedge:D[15] posedge:CLK 52.9401:92.5612:142.608 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.082:279.89:431.224 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.03:292.777 +SETUP negedge:OLOADBOT posedge:CLK 168.764:295.07:454.611 +SETUP negedge:OLOADTOP posedge:CLK 136.973:239.486:368.973 +SETUP posedge:A[0] posedge:CLK 765.218:1337.92:2061.31 +SETUP posedge:A[1] posedge:CLK 817.069:1428.57:2200.99 +SETUP posedge:A[2] posedge:CLK 827.649:1447.07:2229.49 +SETUP posedge:A[3] posedge:CLK 837.532:1464.35:2256.11 +SETUP posedge:A[4] posedge:CLK 883.217:1544.23:2379.17 +SETUP posedge:A[5] posedge:CLK 964.069:1685.59:2596.97 +SETUP posedge:A[6] posedge:CLK 890.297:1556.61:2398.25 +SETUP posedge:A[7] posedge:CLK 901.417:1576.05:2428.2 +SETUP posedge:A[8] posedge:CLK 752.481:1315.65:2027 +SETUP posedge:A[9] posedge:CLK 836.22:1462.06:2252.58 +SETUP posedge:A[10] posedge:CLK 800.067:1398.85:2155.19 +SETUP posedge:A[11] posedge:CLK 817.071:1428.58:2200.99 +SETUP posedge:A[12] posedge:CLK 854.126:1493.36:2300.81 +SETUP posedge:A[13] posedge:CLK 876.563:1532.59:2361.25 +SETUP posedge:A[14] posedge:CLK 887.162:1551.13:2389.8 +SETUP posedge:A[15] posedge:CLK 893.461:1562.14:2406.77 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.238:1215.56:1872.8 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK 949.327:1659.81:2557.26 +SETUP posedge:B[1] posedge:CLK 1025.08:1792.25:2761.31 +SETUP posedge:B[2] posedge:CLK 986.608:1725:2657.68 +SETUP posedge:B[3] posedge:CLK 1007.88:1762.2:2715 +SETUP posedge:B[4] posedge:CLK 946.608:1655.06:2549.93 +SETUP posedge:B[5] posedge:CLK 995.004:1739.68:2680.3 +SETUP posedge:B[6] posedge:CLK 898.946:1571.73:2421.54 +SETUP posedge:B[7] posedge:CLK 955.931:1671.36:2575.05 +SETUP posedge:B[8] posedge:CLK 914.66:1599.2:2463.87 +SETUP posedge:B[9] posedge:CLK 1011.09:1767.8:2723.63 +SETUP posedge:B[10] posedge:CLK 971.573:1698.71:2617.18 +SETUP posedge:B[11] posedge:CLK 994.232:1738.33:2678.22 +SETUP posedge:B[12] posedge:CLK 945.262:1652.71:2546.31 +SETUP posedge:B[13] posedge:CLK 949.763:1660.58:2558.43 +SETUP posedge:B[14] posedge:CLK 849.719:1485.66:2288.94 +SETUP posedge:B[15] posedge:CLK 1023.4:1789.33:2756.8 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.8132:130.804:201.529 +SETUP posedge:C[1] posedge:CLK 82.5498:144.331:222.369 +SETUP posedge:C[2] posedge:CLK 71.5702:125.134:192.793 +SETUP posedge:C[3] posedge:CLK 72.2728:126.363:194.685 +SETUP posedge:C[4] posedge:CLK 74.266:129.848:200.055 +SETUP posedge:C[5] posedge:CLK 61.3797:107.317:165.342 +SETUP posedge:C[6] posedge:CLK 74.6879:130.585:201.191 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 66.1957:115.737:178.315 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 60.6609:106.06:163.406 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7086:107.892:166.228 +SETUP posedge:C[15] posedge:CLK 65.3495:114.258:176.036 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.4847:166.947:257.213 +SETUP posedge:D[1] posedge:CLK 93.8971:164.171:252.936 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8847:109.948:169.396 +SETUP posedge:D[4] posedge:CLK 82.9524:145.035:223.454 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8554:113.394:174.705 +SETUP posedge:D[7] posedge:CLK 76.8532:134.371:207.024 +SETUP posedge:D[8] posedge:CLK 67.8064:118.554:182.654 +SETUP posedge:D[9] posedge:CLK 67.8457:118.622:182.76 +SETUP posedge:D[10] posedge:CLK 74.3501:129.995:200.281 +SETUP posedge:D[11] posedge:CLK 62.3375:108.992:167.922 +SETUP posedge:D[12] posedge:CLK 65.4591:114.45:176.331 +SETUP posedge:D[13] posedge:CLK 51.0127:89.1913:137.416 +SETUP posedge:D[14] posedge:CLK 81.9951:143.361:220.875 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.64:224.916:346.525 +SETUP posedge:OHOLDTOP posedge:CLK 78.7501:137.688:212.134 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.364:254.156:391.575 +IOPATH A[0] ACCUMCO 725.394:1268.29:1954.04 951.1:1662.91:2562.03 +IOPATH A[0] CO 1006.83:1760.36:2712.16 1219.55:2132.27:3285.17 +IOPATH A[1] ACCUMCO 843.01:1473.93:2270.87 980.765:1714.78:2641.94 +IOPATH A[1] CO 1124.45:1966:3028.99 1249.21:2184.14:3365.08 +IOPATH A[2] ACCUMCO 812.445:1420.49:2188.53 918.05:1605.13:2473.01 +IOPATH A[2] CO 1093.88:1912.56:2946.65 1186.5:2074.49:3196.14 +IOPATH A[3] ACCUMCO 769.572:1345.53:2073.04 883.13:1544.08:2378.94 +IOPATH A[3] CO 1051.01:1837.6:2831.16 1151.58:2013.43:3102.08 +IOPATH A[4] ACCUMCO 788.543:1378.7:2124.15 986.042:1724.01:2656.16 +IOPATH A[4] CO 1069.98:1870.77:2882.27 1254.49:2193.37:3379.3 +IOPATH A[5] ACCUMCO 758.107:1325.48:2042.16 904.26:1581.02:2435.86 +IOPATH A[5] CO 1039.54:1817.55:2800.28 1172.71:2050.38:3158.99 +IOPATH A[6] ACCUMCO 830.019:1451.22:2235.87 953.672:1667.41:2568.96 +IOPATH A[6] CO 1111.46:1943.28:2993.99 1222.12:2136.77:3292.1 +IOPATH A[7] ACCUMCO 793.808:1387.9:2138.33 914.788:1599.43:2464.22 +IOPATH A[7] CO 1075.24:1879.97:2896.45 1183.24:2068.79:3187.35 +IOPATH A[8] ACCUMCO 774.35:1353.88:2085.91 902.561:1578.05:2431.28 +IOPATH A[8] CO 1055.79:1845.95:2844.03 1171.01:2047.41:3154.42 +IOPATH A[9] ACCUMCO 835.748:1461.23:2251.3 962.727:1683.24:2593.36 +IOPATH A[9] CO 1117.18:1953.3:3009.43 1231.18:2152.6:3316.49 +IOPATH A[10] ACCUMCO 777.154:1358.79:2093.47 852.489:1490.5:2296.4 +IOPATH A[10] CO 1058.59:1850.85:2851.59 1120.94:1959.86:3019.53 +IOPATH A[11] ACCUMCO 703.631:1230.24:1895.41 783.464:1369.82:2110.46 +IOPATH A[11] CO 985.068:1722.3:2653.54 1051.91:1839.18:2833.6 +IOPATH A[12] ACCUMCO 636.871:1113.51:1715.58 799.694:1398.19:2154.18 +IOPATH A[12] CO 918.308:1605.58:2473.7 1068.14:1867.55:2877.32 +IOPATH A[13] ACCUMCO 678.241:1185.84:1827.02 816.709:1427.94:2200.02 +IOPATH A[13] CO 959.677:1677.91:2585.14 1085.16:1897.3:2923.15 +IOPATH A[14] ACCUMCO 702.791:1228.77:1893.15 810.983:1417.93:2184.59 +IOPATH A[14] CO 984.228:1720.84:2651.27 1079.43:1887.29:2907.73 +IOPATH A[15] ACCUMCO 741.782:1296.94:1998.18 889.019:1554.37:2394.8 +IOPATH A[15] CO 1023.22:1789.01:2756.3 1157.47:2023.73:3117.94 +IOPATH A[15] SIGNEXTOUT 435.504:761.441:1173.14 410.174:717.153:1104.91 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.696:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH posedge:CLK ACCUMCO 993.887:1737.72:2677.29 1143.67:1999.61:3080.78 +IOPATH posedge:CLK CO 1275.32:2229.79:3435.41 1412.12:2468.97:3803.91 +IOPATH posedge:CLK O[0] 484.291:846.74:1304.56 503.715:880.702:1356.89 +IOPATH posedge:CLK O[1] 480.645:840.365:1294.74 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.809:933.318:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.145:865.718:1333.8 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.299:883.471:1361.15 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.966:1019.26:1570.37 630.996:1103.24:1699.75 +IOPATH posedge:CLK O[9] 582.292:1018.09:1568.55 644.106:1126.16:1735.07 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.17:1136.76:1751.4 694.549:1214.36:1870.95 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 576.898:1008.66:1554.02 623.225:1089.65:1678.82 +IOPATH posedge:CLK O[14] 621.547:1086.72:1674.3 671.774:1174.54:1809.6 +IOPATH posedge:CLK O[15] 628.295:1098.52:1692.47 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 616.964:1078.71:1661.95 697.994:1220.38:1880.23 +IOPATH posedge:CLK O[17] 614.376:1074.18:1654.98 684.264:1196.38:1843.24 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 726.803:1270.75:1957.83 +IOPATH posedge:CLK O[19] 679.447:1187.95:1830.27 736.349:1287.44:1983.55 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.274:1194.65:1840.58 +IOPATH posedge:CLK O[21] 575.777:1006.7:1551 614.033:1073.58:1654.06 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.816:1022.5:1575.35 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.32:997.155:1536.31 610.807:1067.94:1645.37 +IOPATH posedge:CLK O[25] 583.365:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.345:1065.39:1641.43 672.861:1176.44:1812.53 +IOPATH posedge:CLK O[27] 566.105:989.785:1524.95 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.697:1029.29:1585.81 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:CI posedge:CLK -81.886:-143.17:-220.581 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6522:44.8505:69.1007 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:CI posedge:CLK -78.4718:-137.201:-211.384 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0836:141.768:218.42 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -1149,353 +1149,353 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 -SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 -SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 -IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 -IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 -IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 -IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 -IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 961.304:1680.76:2589.52 +SETUP negedge:ADDSUBTOP posedge:CLK 681.67:1191.84:1836.25 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7973:-46.8527:-72.1854 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8006:-18.8839:-29.0943 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:CI posedge:CLK 629.059:1099.85:1694.53 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.975:295.439:455.179 +SETUP negedge:OLOADTOP posedge:CLK 137.087:239.685:369.28 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 982.049:1717.03:2645.4 +SETUP posedge:ADDSUBTOP posedge:CLK 700.297:1224.41:1886.43 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.075:-31.6025:-48.6896 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7245:-20.4992:-31.5829 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:CI posedge:CLK 589.453:1030.61:1587.85 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.594:254.558:392.195 +IOPATH ADDSUBBOT ACCUMCO 1114.72:1948.99:3002.79 1231.03:2152.35:3316.1 +IOPATH ADDSUBBOT CO 1396.16:2441.06:3760.91 1499.48:2621.71:4039.23 +IOPATH ADDSUBTOP ACCUMCO 790.563:1382.23:2129.59 931.954:1629.44:2510.46 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.943:386.299:595.167 +IOPATH CI ACCUMCO 599.791:1048.68:1615.69 630.946:1103.15:1699.62 +IOPATH CI CO 881.228:1540.75:2373.82 899.394:1572.51:2422.75 +IOPATH posedge:CLK ACCUMCO 1417.31:2478.04:3817.89 1538.29:2689.57:4143.79 +IOPATH posedge:CLK CO 1698.75:2970.11:4576.01 1806.74:3158.93:4866.92 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 621.836:1087.23:1675.08 672.218:1175.32:1810.79 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.618:1188.25:1830.73 736.514:1287.73:1983.99 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.383:1194.84:1840.87 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.5:1065.66:1641.85 673.926:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 718.342:1255.96:1935.04 697.215:1219.02:1878.13 CELL SB_MAC16_ACC_U_32P32_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:CI posedge:CLK -182.352:-182.352:-182.352 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:CI posedge:CLK -178.334:-178.334:-178.334 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:CI posedge:CLK -89.6579:-156.759:-241.517 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:CI posedge:CLK -87.6823:-153.305:-236.195 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3041:173.624:267.501 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.1688:147.162:226.731 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.363:729.722:1124.27 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -1508,415 +1508,415 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 -SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 -SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 -SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 -SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 -SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 -SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 -SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 -SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 -SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 -SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 -SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 -SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 -SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 -SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 -SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 -SETUP negedge:ADDSUBBOT posedge:CLK 1951.91:1951.91:1951.91 -SETUP negedge:ADDSUBTOP posedge:CLK 1386.27:1386.27:1386.27 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 1971.76:1971.76:1971.76 -SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 -SETUP negedge:B[2] posedge:CLK 1931.07:1931.07:1931.07 -SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 -SETUP negedge:B[4] posedge:CLK 2000.11:2000.11:2000.11 -SETUP negedge:B[5] posedge:CLK 1977.32:1977.32:1977.32 -SETUP negedge:B[6] posedge:CLK 1940.61:1940.61:1940.61 -SETUP negedge:B[7] posedge:CLK 1902.5:1902.5:1902.5 -SETUP negedge:B[8] posedge:CLK 1856.62:1856.62:1856.62 -SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 -SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 -SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 -SETUP negedge:B[12] posedge:CLK 1975.68:1975.68:1975.68 -SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 -SETUP negedge:B[14] posedge:CLK 1817.14:1817.14:1817.14 -SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 -SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:CI posedge:CLK 1273.34:1273.34:1273.34 -SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 -SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 -SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 -SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 -SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 -SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 -SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 -SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 -SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 -SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 -SETUP posedge:A[2] posedge:CLK 1683.35:1683.35:1683.35 -SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 -SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 -SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 -SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 -SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 -SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 -SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 -SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 -SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 -SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 -SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 -SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 -SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 -SETUP posedge:ADDSUBBOT posedge:CLK 1994.11:1994.11:1994.11 -SETUP posedge:ADDSUBTOP posedge:CLK 1424.02:1424.02:1424.02 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 -SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 -SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 -SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 -SETUP posedge:B[4] posedge:CLK 1960:1960:1960 -SETUP posedge:B[5] posedge:CLK 2035.05:2035.05:2035.05 -SETUP posedge:B[6] posedge:CLK 1992.81:1992.81:1992.81 -SETUP posedge:B[7] posedge:CLK 1946.79:1946.79:1946.79 -SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 -SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 -SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 -SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 -SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 -SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 -SETUP posedge:B[14] posedge:CLK 1829.22:1829.22:1829.22 -SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 -SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 -SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:CI posedge:CLK 1188.68:1188.68:1188.68 -SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 -SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 -SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 -SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 -SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 -SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 -SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 -IOPATH A[0] ACCUMCO 1481.77:1481.77:1481.77 1938.69:1938.69:1938.69 -IOPATH A[0] CO 2054.17:2054.17:2054.17 2484.67:2484.67:2484.67 -IOPATH A[1] ACCUMCO 1720.71:1720.71:1720.71 1998.85:1998.85:1998.85 -IOPATH A[1] CO 2293.11:2293.11:2293.11 2544.84:2544.84:2544.84 -IOPATH A[2] ACCUMCO 1658.54:1658.54:1658.54 1871.3:1871.3:1871.3 -IOPATH A[2] CO 2230.95:2230.95:2230.95 2417.28:2417.28:2417.28 -IOPATH A[3] ACCUMCO 1571.35:1571.35:1571.35 1800.27:1800.27:1800.27 -IOPATH A[3] CO 2143.75:2143.75:2143.75 2346.26:2346.26:2346.26 -IOPATH A[4] ACCUMCO 1608.77:1608.77:1608.77 2005.48:2005.48:2005.48 -IOPATH A[4] CO 2181.17:2181.17:2181.17 2551.46:2551.46:2551.46 -IOPATH A[5] ACCUMCO 1541.99:1541.99:1541.99 1839.14:1839.14:1839.14 -IOPATH A[5] CO 2114.39:2114.39:2114.39 2385.13:2385.13:2385.13 -IOPATH A[6] ACCUMCO 1688.25:1688.25:1688.25 1939.64:1939.64:1939.64 -IOPATH A[6] CO 2260.65:2260.65:2260.65 2485.63:2485.63:2485.63 -IOPATH A[7] ACCUMCO 1619.47:1619.47:1619.47 1860.55:1860.55:1860.55 -IOPATH A[7] CO 2191.88:2191.88:2191.88 2406.54:2406.54:2406.54 -IOPATH A[8] ACCUMCO 1575.02:1575.02:1575.02 1835.69:1835.69:1835.69 -IOPATH A[8] CO 2147.43:2147.43:2147.43 2381.67:2381.67:2381.67 -IOPATH A[9] ACCUMCO 1699.9:1699.9:1699.9 1958.06:1958.06:1958.06 -IOPATH A[9] CO 2272.3:2272.3:2272.3 2504.04:2504.04:2504.04 -IOPATH A[10] ACCUMCO 1580.73:1580.73:1580.73 1733.85:1733.85:1733.85 -IOPATH A[10] CO 2153.13:2153.13:2153.13 2279.83:2279.83:2279.83 -IOPATH A[11] ACCUMCO 1431.19:1431.19:1431.19 1593.46:1593.46:1593.46 -IOPATH A[11] CO 2003.6:2003.6:2003.6 2139.45:2139.45:2139.45 -IOPATH A[12] ACCUMCO 1429.52:1429.52:1429.52 1626.47:1626.47:1626.47 -IOPATH A[12] CO 2001.92:2001.92:2001.92 2172.46:2172.46:2172.46 -IOPATH A[13] ACCUMCO 1491.26:1491.26:1491.26 1661.08:1661.08:1661.08 -IOPATH A[13] CO 2063.66:2063.66:2063.66 2207.06:2207.06:2207.06 -IOPATH A[14] ACCUMCO 1561.46:1561.46:1561.46 1649.43:1649.43:1649.43 -IOPATH A[14] CO 2133.86:2133.86:2133.86 2195.42:2195.42:2195.42 -IOPATH A[15] ACCUMCO 1643.64:1643.64:1643.64 1808.14:1808.14:1808.14 -IOPATH A[15] CO 2216.04:2216.04:2216.04 2354.13:2354.13:2354.13 -IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 -IOPATH ADDSUBBOT ACCUMCO 2253.92:2253.92:2253.92 2498.2:2498.2:2498.2 -IOPATH ADDSUBBOT CO 2826.33:2826.33:2826.33 3044.19:3044.19:3044.19 -IOPATH ADDSUBTOP ACCUMCO 1607.41:1607.41:1607.41 1894.98:1894.98:1894.98 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[0] ACCUMCO 2194.56:2194.56:2194.56 2460.21:2460.21:2460.21 -IOPATH B[0] CO 2766.96:2766.96:2766.96 3006.2:3006.2:3006.2 -IOPATH B[1] ACCUMCO 2259.17:2259.17:2259.17 2520.34:2520.34:2520.34 -IOPATH B[1] CO 2831.58:2831.58:2831.58 3066.33:3066.33:3066.33 -IOPATH B[2] ACCUMCO 2258.15:2258.15:2258.15 2486.01:2486.01:2486.01 -IOPATH B[2] CO 2830.56:2830.56:2830.56 3032:3032:3032 -IOPATH B[3] ACCUMCO 2131.59:2131.59:2131.59 2371.61:2371.61:2371.61 -IOPATH B[3] CO 2704:2704:2704 2917.59:2917.59:2917.59 -IOPATH B[4] ACCUMCO 2256.15:2256.15:2256.15 2508.41:2508.41:2508.41 -IOPATH B[4] CO 2828.55:2828.55:2828.55 3054.4:3054.4:3054.4 -IOPATH B[5] ACCUMCO 2253.09:2253.09:2253.09 2567.81:2567.81:2567.81 -IOPATH B[5] CO 2825.49:2825.49:2825.49 3113.8:3113.8:3113.8 -IOPATH B[6] ACCUMCO 2225.34:2225.34:2225.34 2496:2496:2496 -IOPATH B[6] CO 2797.75:2797.75:2797.75 3041.99:3041.99:3041.99 -IOPATH B[7] ACCUMCO 2174.43:2174.43:2174.43 2417.76:2417.76:2417.76 -IOPATH B[7] CO 2746.84:2746.84:2746.84 2963.74:2963.74:2963.74 -IOPATH B[8] ACCUMCO 2093.22:2093.22:2093.22 2264.47:2264.47:2264.47 -IOPATH B[8] CO 2665.63:2665.63:2665.63 2810.46:2810.46:2810.46 -IOPATH B[9] ACCUMCO 2194.25:2194.25:2194.25 2316.12:2316.12:2316.12 -IOPATH B[9] CO 2766.65:2766.65:2766.65 2862.11:2862.11:2862.11 -IOPATH B[10] ACCUMCO 2145.64:2145.64:2145.64 2269.49:2269.49:2269.49 -IOPATH B[10] CO 2718.05:2718.05:2718.05 2815.48:2815.48:2815.48 -IOPATH B[11] ACCUMCO 2247.87:2247.87:2247.87 2337.51:2337.51:2337.51 -IOPATH B[11] CO 2820.27:2820.27:2820.27 2883.49:2883.49:2883.49 -IOPATH B[12] ACCUMCO 2275.08:2275.08:2275.08 2466.88:2466.88:2466.88 -IOPATH B[12] CO 2847.48:2847.48:2847.48 3012.87:3012.87:3012.87 -IOPATH B[13] ACCUMCO 2155.04:2155.04:2155.04 2345.71:2345.71:2345.71 -IOPATH B[13] CO 2727.44:2727.44:2727.44 2891.7:2891.7:2891.7 -IOPATH B[14] ACCUMCO 2114.35:2114.35:2114.35 2217.9:2217.9:2217.9 -IOPATH B[14] CO 2686.76:2686.76:2686.76 2763.89:2763.89:2763.89 -IOPATH B[15] ACCUMCO 2339.95:2339.95:2339.95 2480.28:2480.28:2480.28 -IOPATH B[15] CO 2912.35:2912.35:2912.35 3026.27:3026.27:3026.27 -IOPATH CI ACCUMCO 1202.55:1202.55:1202.55 1272.89:1272.89:1272.89 -IOPATH CI CO 1774.95:1774.95:1774.95 1818.88:1818.88:1818.88 -IOPATH posedge:CLK ACCUMCO 2626.57:2626.57:2626.57 2846.62:2846.62:2846.62 -IOPATH posedge:CLK CO 3198.97:3198.97:3198.97 3392.61:3392.61:3392.61 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +SETUP negedge:A[0] posedge:CLK 774.079:1353.41:2085.18 +SETUP negedge:A[1] posedge:CLK 824.51:1441.58:2221.03 +SETUP negedge:A[2] posedge:CLK 829.149:1449.69:2233.53 +SETUP negedge:A[3] posedge:CLK 844.888:1477.21:2275.93 +SETUP negedge:A[4] posedge:CLK 894.618:1564.16:2409.88 +SETUP negedge:A[5] posedge:CLK 938.135:1640.25:2527.11 +SETUP negedge:A[6] posedge:CLK 894.689:1564.29:2410.08 +SETUP negedge:A[7] posedge:CLK 908.849:1589.04:2448.22 +SETUP negedge:A[8] posedge:CLK 753.527:1317.48:2029.82 +SETUP negedge:A[9] posedge:CLK 837.231:1463.82:2255.3 +SETUP negedge:A[10] posedge:CLK 803.699:1405.2:2164.97 +SETUP negedge:A[11] posedge:CLK 806.269:1409.69:2171.89 +SETUP negedge:A[12] posedge:CLK 841.01:1470.43:2265.48 +SETUP negedge:A[13] posedge:CLK 853.668:1492.56:2299.58 +SETUP negedge:A[14] posedge:CLK 863.303:1509.41:2325.53 +SETUP negedge:A[15] posedge:CLK 869.885:1520.92:2343.26 +SETUP negedge:ADDSUBBOT posedge:CLK 959.706:1677.96:2585.22 +SETUP negedge:ADDSUBTOP posedge:CLK 681.594:1191.71:1836.05 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK 969.464:1695.02:2611.5 +SETUP negedge:B[1] posedge:CLK 968.305:1693:2608.38 +SETUP negedge:B[2] posedge:CLK 949.46:1660.05:2557.62 +SETUP negedge:B[3] posedge:CLK 978.168:1710.24:2634.95 +SETUP negedge:B[4] posedge:CLK 983.405:1719.4:2649.06 +SETUP negedge:B[5] posedge:CLK 972.2:1699.81:2618.87 +SETUP negedge:B[6] posedge:CLK 954.148:1668.24:2570.24 +SETUP negedge:B[7] posedge:CLK 935.41:1635.48:2519.77 +SETUP negedge:B[8] posedge:CLK 912.854:1596.05:2459.01 +SETUP negedge:B[9] posedge:CLK 988.921:1729.04:2663.92 +SETUP negedge:B[10] posedge:CLK 928.586:1623.55:2501.39 +SETUP negedge:B[11] posedge:CLK 1009.3:1764.67:2718.8 +SETUP negedge:B[12] posedge:CLK 971.394:1698.4:2616.7 +SETUP negedge:B[13] posedge:CLK 940.416:1644.23:2533.25 +SETUP negedge:B[14] posedge:CLK 893.443:1562.11:2406.72 +SETUP negedge:B[15] posedge:CLK 912.428:1595.3:2457.86 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.4506:147.654:227.489 +SETUP negedge:C[1] posedge:CLK 80.648:141.006:217.246 +SETUP negedge:C[2] posedge:CLK 74.1524:129.649:199.749 +SETUP negedge:C[3] posedge:CLK 68.274:119.371:183.914 +SETUP negedge:C[4] posedge:CLK 73.5305:128.562:198.073 +SETUP negedge:C[5] posedge:CLK 64.6543:113.042:174.163 +SETUP negedge:C[6] posedge:CLK 80.525:140.791:216.915 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.8035:120.297:185.34 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 70.5106:123.282:189.939 +SETUP negedge:C[15] posedge:CLK 67.4637:117.954:181.731 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:CI posedge:CLK 626.068:1094.62:1686.48 +SETUP negedge:D[0] posedge:CLK 108.147:189.086:291.323 +SETUP negedge:D[1] posedge:CLK 92.7589:162.181:249.87 +SETUP negedge:D[2] posedge:CLK 85.7392:149.908:230.961 +SETUP negedge:D[3] posedge:CLK 66.5079:116.283:179.156 +SETUP negedge:D[4] posedge:CLK 87.1725:152.413:234.822 +SETUP negedge:D[5] posedge:CLK 94.1631:164.636:253.653 +SETUP negedge:D[6] posedge:CLK 76.365:133.517:205.709 +SETUP negedge:D[7] posedge:CLK 74.2891:129.888:200.117 +SETUP negedge:D[8] posedge:CLK 73.7906:129.016:198.774 +SETUP negedge:D[9] posedge:CLK 72.8397:127.354:196.213 +SETUP negedge:D[10] posedge:CLK 83.4549:145.914:224.807 +SETUP negedge:D[11] posedge:CLK 70.5077:123.276:189.931 +SETUP negedge:D[12] posedge:CLK 69.631:121.744:187.569 +SETUP negedge:D[13] posedge:CLK 53.8276:94.1128:144.999 +SETUP negedge:D[14] posedge:CLK 86.0746:150.494:231.864 +SETUP negedge:D[15] posedge:CLK 52.9401:92.5612:142.608 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.082:279.89:431.224 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.03:292.777 +SETUP negedge:OLOADBOT posedge:CLK 168.764:295.07:454.611 +SETUP negedge:OLOADTOP posedge:CLK 136.973:239.486:368.973 +SETUP posedge:A[0] posedge:CLK 765.218:1337.92:2061.31 +SETUP posedge:A[1] posedge:CLK 817.069:1428.57:2200.99 +SETUP posedge:A[2] posedge:CLK 827.66:1447.09:2229.52 +SETUP posedge:A[3] posedge:CLK 837.532:1464.35:2256.11 +SETUP posedge:A[4] posedge:CLK 883.217:1544.23:2379.17 +SETUP posedge:A[5] posedge:CLK 964.069:1685.59:2596.97 +SETUP posedge:A[6] posedge:CLK 890.297:1556.61:2398.25 +SETUP posedge:A[7] posedge:CLK 901.417:1576.05:2428.2 +SETUP posedge:A[8] posedge:CLK 752.481:1315.65:2027 +SETUP posedge:A[9] posedge:CLK 836.22:1462.06:2252.58 +SETUP posedge:A[10] posedge:CLK 800.067:1398.85:2155.19 +SETUP posedge:A[11] posedge:CLK 817.071:1428.58:2200.99 +SETUP posedge:A[12] posedge:CLK 854.126:1493.36:2300.81 +SETUP posedge:A[13] posedge:CLK 876.563:1532.59:2361.25 +SETUP posedge:A[14] posedge:CLK 887.162:1551.13:2389.8 +SETUP posedge:A[15] posedge:CLK 893.461:1562.14:2406.77 +SETUP posedge:ADDSUBBOT posedge:CLK 980.452:1714.23:2641.1 +SETUP posedge:ADDSUBTOP posedge:CLK 700.157:1224.16:1886.05 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK 949.327:1659.81:2557.26 +SETUP posedge:B[1] posedge:CLK 1025.08:1792.25:2761.31 +SETUP posedge:B[2] posedge:CLK 986.608:1725:2657.68 +SETUP posedge:B[3] posedge:CLK 1007.88:1762.2:2715 +SETUP posedge:B[4] posedge:CLK 963.681:1684.91:2595.93 +SETUP posedge:B[5] posedge:CLK 1000.58:1749.43:2695.33 +SETUP posedge:B[6] posedge:CLK 979.816:1713.12:2639.39 +SETUP posedge:B[7] posedge:CLK 957.186:1673.56:2578.43 +SETUP posedge:B[8] posedge:CLK 914.66:1599.2:2463.87 +SETUP posedge:B[9] posedge:CLK 1011.09:1767.8:2723.63 +SETUP posedge:B[10] posedge:CLK 971.573:1698.71:2617.18 +SETUP posedge:B[11] posedge:CLK 994.232:1738.33:2678.22 +SETUP posedge:B[12] posedge:CLK 945.262:1652.71:2546.31 +SETUP posedge:B[13] posedge:CLK 949.763:1660.58:2558.43 +SETUP posedge:B[14] posedge:CLK 899.383:1572.49:2422.72 +SETUP posedge:B[15] posedge:CLK 1023.4:1789.33:2756.8 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.8132:130.804:201.529 +SETUP posedge:C[1] posedge:CLK 82.5498:144.331:222.369 +SETUP posedge:C[2] posedge:CLK 71.5702:125.134:192.793 +SETUP posedge:C[3] posedge:CLK 72.2728:126.363:194.685 +SETUP posedge:C[4] posedge:CLK 74.266:129.848:200.055 +SETUP posedge:C[5] posedge:CLK 61.3797:107.317:165.342 +SETUP posedge:C[6] posedge:CLK 74.6879:130.585:201.191 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 66.1957:115.737:178.315 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 60.6609:106.06:163.406 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7086:107.892:166.228 +SETUP posedge:C[15] posedge:CLK 65.3495:114.258:176.036 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:CI posedge:CLK 584.444:1021.85:1574.35 +SETUP posedge:D[0] posedge:CLK 95.4847:166.947:257.213 +SETUP posedge:D[1] posedge:CLK 93.8971:164.171:252.936 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8847:109.948:169.396 +SETUP posedge:D[4] posedge:CLK 82.9524:145.035:223.454 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8554:113.394:174.705 +SETUP posedge:D[7] posedge:CLK 76.8532:134.371:207.024 +SETUP posedge:D[8] posedge:CLK 67.8064:118.554:182.654 +SETUP posedge:D[9] posedge:CLK 67.8457:118.622:182.76 +SETUP posedge:D[10] posedge:CLK 74.3501:129.995:200.281 +SETUP posedge:D[11] posedge:CLK 62.3375:108.992:167.922 +SETUP posedge:D[12] posedge:CLK 65.4591:114.45:176.331 +SETUP posedge:D[13] posedge:CLK 51.0127:89.1913:137.416 +SETUP posedge:D[14] posedge:CLK 81.9951:143.361:220.875 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.64:224.916:346.525 +SETUP posedge:OHOLDTOP posedge:CLK 78.7501:137.688:212.134 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.364:254.156:391.575 +IOPATH A[0] ACCUMCO 728.55:1273.81:1962.54 953.203:1666.59:2567.7 +IOPATH A[0] CO 1009.99:1765.87:2720.66 1221.65:2135.95:3290.83 +IOPATH A[1] ACCUMCO 846.03:1479.21:2279 982.783:1718.31:2647.38 +IOPATH A[1] CO 1127.47:1971.28:3037.12 1251.23:2187.67:3370.52 +IOPATH A[2] ACCUMCO 815.464:1425.77:2196.66 920.069:1608.66:2478.44 +IOPATH A[2] CO 1096.9:1917.84:2954.79 1188.52:2078.02:3201.58 +IOPATH A[3] ACCUMCO 772.593:1350.81:2081.18 885.146:1547.6:2384.37 +IOPATH A[3] CO 1054.03:1842.88:2839.3 1153.59:2016.96:3107.51 +IOPATH A[4] ACCUMCO 790.99:1382.98:2130.74 986.042:1724.01:2656.16 +IOPATH A[4] CO 1072.43:1875.04:2888.86 1254.49:2193.37:3379.3 +IOPATH A[5] ACCUMCO 758.157:1325.57:2042.29 904.26:1581.02:2435.86 +IOPATH A[5] CO 1039.59:1817.64:2800.41 1172.71:2050.38:3158.99 +IOPATH A[6] ACCUMCO 830.069:1451.3:2236.01 953.672:1667.41:2568.96 +IOPATH A[6] CO 1111.51:1943.37:2994.13 1222.12:2136.77:3292.1 +IOPATH A[7] ACCUMCO 796.255:1392.18:2144.92 914.788:1599.43:2464.22 +IOPATH A[7] CO 1077.69:1884.25:2903.04 1183.24:2068.79:3187.35 +IOPATH A[8] ACCUMCO 774.4:1353.97:2086.05 902.561:1578.05:2431.28 +IOPATH A[8] CO 1055.84:1846.04:2844.17 1171.01:2047.41:3154.42 +IOPATH A[9] ACCUMCO 835.798:1461.32:2251.44 962.727:1683.24:2593.36 +IOPATH A[9] CO 1117.23:1953.39:3009.56 1231.18:2152.6:3316.49 +IOPATH A[10] ACCUMCO 777.205:1358.88:2093.6 852.489:1490.5:2296.4 +IOPATH A[10] CO 1058.64:1850.94:2851.73 1120.94:1959.86:3019.53 +IOPATH A[11] ACCUMCO 703.681:1230.33:1895.55 783.464:1369.82:2110.46 +IOPATH A[11] CO 985.118:1722.39:2653.67 1051.91:1839.18:2833.6 +IOPATH A[12] ACCUMCO 702.858:1228.89:1893.33 799.694:1398.19:2154.18 +IOPATH A[12] CO 984.295:1720.95:2651.45 1068.14:1867.55:2877.32 +IOPATH A[13] ACCUMCO 733.214:1281.96:1975.1 816.709:1427.94:2200.02 +IOPATH A[13] CO 1014.65:1774.03:2733.23 1085.16:1897.3:2923.15 +IOPATH A[14] ACCUMCO 767.729:1342.31:2068.08 810.983:1417.93:2184.59 +IOPATH A[14] CO 1049.17:1834.37:2826.2 1079.43:1887.29:2907.73 +IOPATH A[15] ACCUMCO 808.136:1412.95:2176.92 889.019:1554.37:2394.8 +IOPATH A[15] CO 1089.57:1905.02:2935.04 1157.47:2023.73:3117.94 +IOPATH A[15] SIGNEXTOUT 435.504:761.441:1173.14 410.174:717.153:1104.91 +IOPATH ADDSUBBOT ACCUMCO 1108.2:1937.59:2985.22 1228.3:2147.58:3308.75 +IOPATH ADDSUBBOT CO 1389.63:2429.65:3743.34 1496.75:2616.94:4031.88 +IOPATH ADDSUBTOP ACCUMCO 790.323:1381.81:2128.94 931.715:1629.02:2509.82 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[0] ACCUMCO 1079.01:1886.55:2906.59 1209.62:2114.92:3258.43 +IOPATH B[0] CO 1360.45:2378.62:3664.71 1478.07:2584.28:3981.57 +IOPATH B[1] ACCUMCO 1110.78:1942.1:2992.17 1239.19:2166.61:3338.08 +IOPATH B[1] CO 1392.21:2434.17:3750.29 1507.64:2635.97:4061.21 +IOPATH B[2] ACCUMCO 1110.28:1941.22:2990.82 1222.31:2137.1:3292.61 +IOPATH B[2] CO 1391.71:2433.29:3748.94 1490.76:2606.46:4015.74 +IOPATH B[3] ACCUMCO 1048.05:1832.43:2823.2 1166.06:2038.75:3141.08 +IOPATH B[3] CO 1329.49:2324.49:3581.32 1434.51:2508.11:3864.22 +IOPATH B[4] ACCUMCO 1109.29:1939.5:2988.16 1233.32:2156.36:3322.27 +IOPATH B[4] CO 1390.73:2431.57:3746.29 1501.77:2625.72:4045.41 +IOPATH B[5] ACCUMCO 1107.79:1936.87:2984.11 1262.53:2207.42:3400.95 +IOPATH B[5] CO 1389.22:2428.93:3742.23 1530.98:2676.78:4124.08 +IOPATH B[6] ACCUMCO 1094.15:1913.02:2947.37 1227.22:2145.69:3305.84 +IOPATH B[6] CO 1375.58:2405.09:3705.49 1495.67:2615.05:4028.97 +IOPATH B[7] ACCUMCO 1069.11:1869.25:2879.94 1188.75:2078.42:3202.2 +IOPATH B[7] CO 1350.55:2361.32:3638.06 1457.2:2547.78:3925.34 +IOPATH B[8] ACCUMCO 1029.18:1799.44:2772.38 1113.39:1946.66:2999.19 +IOPATH B[8] CO 1310.62:2291.51:3530.5 1381.83:2416.02:3722.33 +IOPATH B[9] ACCUMCO 1078.86:1886.29:2906.18 1138.78:1991.06:3067.6 +IOPATH B[9] CO 1360.29:2378.36:3664.31 1407.23:2460.41:3790.73 +IOPATH B[10] ACCUMCO 1054.96:1844.5:2841.8 1115.85:1950.97:3005.83 +IOPATH B[10] CO 1336.4:2336.57:3599.93 1384.3:2420.32:3728.97 +IOPATH B[11] ACCUMCO 1105.22:1932.38:2977.2 1149.29:2009.44:3095.92 +IOPATH B[11] CO 1386.66:2424.45:3735.32 1417.74:2478.8:3819.05 +IOPATH B[12] ACCUMCO 1118.6:1955.77:3013.23 1212.9:2120.66:3267.27 +IOPATH B[12] CO 1400.03:2447.84:3771.35 1481.35:2590.01:3990.41 +IOPATH B[13] ACCUMCO 1059.58:1852.58:2854.25 1153.33:2016.49:3106.78 +IOPATH B[13] CO 1341.02:2344.65:3612.37 1421.77:2485.85:3829.92 +IOPATH B[14] ACCUMCO 1039.58:1817.61:2800.36 1090.48:1906.62:2937.5 +IOPATH B[14] CO 1321.01:2309.67:3558.49 1358.93:2375.98:3660.64 +IOPATH B[15] ACCUMCO 1150.49:2011.54:3099.15 1219.49:2132.17:3285.01 +IOPATH B[15] CO 1431.93:2503.6:3857.27 1487.94:2601.53:4008.15 +IOPATH CI ACCUMCO 591.262:1033.77:1592.72 625.85:1094.24:1685.89 +IOPATH CI CO 872.698:1525.84:2350.84 894.298:1563.6:2409.02 +IOPATH posedge:CLK ACCUMCO 1291.42:2257.93:3478.77 1399.61:2447.1:3770.22 +IOPATH posedge:CLK CO 1572.86:2750:4236.89 1668.06:2916.46:4493.35 +IOPATH posedge:CLK O[0] 484.291:846.74:1304.56 503.715:880.702:1356.89 +IOPATH posedge:CLK O[1] 480.645:840.365:1294.74 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.809:933.318:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.145:865.718:1333.8 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.299:883.471:1361.15 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.966:1019.26:1570.37 630.996:1103.24:1699.75 +IOPATH posedge:CLK O[9] 582.292:1018.09:1568.55 644.106:1126.16:1735.07 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.17:1136.76:1751.4 694.549:1214.36:1870.95 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 576.898:1008.66:1554.02 623.225:1089.65:1678.82 +IOPATH posedge:CLK O[14] 621.547:1086.72:1674.3 671.774:1174.54:1809.6 +IOPATH posedge:CLK O[15] 628.295:1098.52:1692.47 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 616.964:1078.71:1661.95 697.994:1220.38:1880.23 +IOPATH posedge:CLK O[17] 614.376:1074.18:1654.98 684.264:1196.38:1843.24 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 726.803:1270.75:1957.83 +IOPATH posedge:CLK O[19] 679.447:1187.95:1830.27 736.349:1287.44:1983.55 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.274:1194.65:1840.58 +IOPATH posedge:CLK O[21] 575.777:1006.7:1551 614.033:1073.58:1654.06 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.816:1022.5:1575.35 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.32:997.155:1536.31 610.807:1067.94:1645.37 +IOPATH posedge:CLK O[25] 583.365:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.345:1065.39:1641.43 672.861:1176.44:1812.53 +IOPATH posedge:CLK O[27] 566.105:989.785:1524.95 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.697:1029.29:1585.81 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.755:59.0176:90.9277 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.4518:49.7454:76.6422 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6522:44.8505:69.1007 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0836:141.768:218.42 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -1929,1024 +1929,1024 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 674.109:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.751:1183.24:1823 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7973:-46.8527:-72.1854 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8006:-18.8839:-29.0943 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.975:295.439:455.179 +SETUP negedge:OLOADTOP posedge:CLK 136.836:239.246:368.603 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.808:1214.81:1871.65 +SETUP posedge:ADDSUBTOP posedge:CLK 695.378:1215.81:1873.18 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.075:-31.6025:-48.6896 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7245:-20.4992:-31.5829 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.594:254.558:392.195 +IOPATH ADDSUBTOP ACCUMCO 787.543:1376.95:2121.45 929.935:1625.91:2505.02 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.33:2282.25:3516.23 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.77:2751.61:4239.37 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 621.836:1087.23:1675.08 672.218:1175.32:1810.79 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.618:1188.25:1830.73 736.514:1287.73:1983.99 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.383:1194.84:1840.87 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.5:1065.66:1641.85 673.926:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 718.342:1255.96:1935.04 697.215:1219.02:1878.13 CELL SB_MAC16_ADS_U_16P16_BYPASS -IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 -IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 -IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 -IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 -IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 -IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 -IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 -IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 -IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 -IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 -IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 -IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 -IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 -IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 -IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 -IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 -IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 -IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 -IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 -IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 -IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 -IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 -IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 -IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 -IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 -IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 -IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 -IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 -IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 -IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 -IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 -IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 -IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 -IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 -IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 -IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 -IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 -IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 -IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 -IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 -IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 -IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 -IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 -IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 -IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 -IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 -IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 -IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 -IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 -IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 -IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 -IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 -IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 -IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 -IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 -IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 -IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 -IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 -IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 -IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 -IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 -IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 -IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 -IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 -IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 -IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 -IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 -IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 -IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 -IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 -IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 -IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 -IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 -IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 -IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 -IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 -IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 -IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 -IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 -IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 -IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 -IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 -IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 -IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 -IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 -IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 -IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 -IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 -IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 -IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 -IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 -IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 -IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 -IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 -IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 -IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 -IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 -IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 -IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 -IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 -IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 -IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 -IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 -IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 -IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 -IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 -IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 -IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 -IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 -IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 -IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 -IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 -IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 -IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 -IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 -IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 -IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 -IOPATH A[8] CO 2138.62:2138.62:2138.62 2366.85:2366.85:2366.85 -IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 -IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 -IOPATH A[8] O[26] 2105.23:2105.23:2105.23 2290.23:2290.23:2290.23 -IOPATH A[8] O[27] 2086.3:2086.3:2086.3 2235.19:2235.19:2235.19 -IOPATH A[8] O[28] 2288.83:2288.83:2288.83 2446.38:2446.38:2446.38 -IOPATH A[8] O[29] 2373.98:2373.98:2373.98 2534.52:2534.52:2534.52 -IOPATH A[8] O[30] 2440.98:2440.98:2440.98 2570.97:2570.97:2570.97 -IOPATH A[8] O[31] 2491.39:2491.39:2491.39 2581.51:2581.51:2581.51 -IOPATH A[9] ACCUMCO 1685.72:1685.72:1685.72 1937.96:1937.96:1937.96 -IOPATH A[9] CO 2258.12:2258.12:2258.12 2483.94:2483.94:2483.94 -IOPATH A[9] O[25] 1936.15:1936.15:1936.15 2103.39:2103.39:2103.39 -IOPATH A[9] O[26] 2123.3:2123.3:2123.3 2308.31:2308.31:2308.31 -IOPATH A[9] O[27] 2104.37:2104.37:2104.37 2253.26:2253.26:2253.26 -IOPATH A[9] O[28] 2405.93:2405.93:2405.93 2563.48:2563.48:2563.48 -IOPATH A[9] O[29] 2491.08:2491.08:2491.08 2651.61:2651.61:2651.61 -IOPATH A[9] O[30] 2558.07:2558.07:2558.07 2688.06:2688.06:2688.06 -IOPATH A[9] O[31] 2608.48:2608.48:2608.48 2698.6:2698.6:2698.6 -IOPATH A[10] ACCUMCO 1570.75:1570.75:1570.75 1726.27:1726.27:1726.27 -IOPATH A[10] CO 2143.15:2143.15:2143.15 2272.26:2272.26:2272.26 -IOPATH A[10] O[26] 1876.91:1876.91:1876.91 2061.92:2061.92:2061.92 -IOPATH A[10] O[27] 1893.72:1893.72:1893.72 2042.61:2042.61:2042.61 -IOPATH A[10] O[28] 2184.69:2184.69:2184.69 2342.39:2342.39:2342.39 -IOPATH A[10] O[29] 2269.98:2269.98:2269.98 2430.52:2430.52:2430.52 -IOPATH A[10] O[30] 2336.98:2336.98:2336.98 2466.97:2466.97:2466.97 -IOPATH A[10] O[31] 2387.39:2387.39:2387.39 2477.51:2477.51:2477.51 -IOPATH A[11] ACCUMCO 1426.27:1426.27:1426.27 1589.66:1589.66:1589.66 -IOPATH A[11] CO 1998.68:1998.68:1998.68 2135.65:2135.65:2135.65 -IOPATH A[11] O[27] 1652.87:1652.87:1652.87 1801.77:1801.77:1801.77 -IOPATH A[11] O[28] 2019.2:2019.2:2019.2 2174.11:2174.11:2174.11 -IOPATH A[11] O[29] 2101.7:2101.7:2101.7 2262.24:2262.24:2262.24 -IOPATH A[11] O[30] 2168.7:2168.7:2168.7 2298.69:2298.69:2298.69 -IOPATH A[11] O[31] 2219.11:2219.11:2219.11 2309.23:2309.23:2309.23 -IOPATH A[12] ACCUMCO 1290.19:1290.19:1290.19 1617.79:1617.79:1617.79 -IOPATH A[12] CO 1862.6:1862.6:1862.6 2163.78:2163.78:2163.78 -IOPATH A[12] O[28] 1660.43:1660.43:1660.43 1802.15:1802.15:1802.15 -IOPATH A[12] O[29] 1769.09:1769.09:1769.09 1913.37:1913.37:1913.37 -IOPATH A[12] O[30] 1822.99:1822.99:1822.99 1941.49:1941.49:1941.49 -IOPATH A[12] O[31] 1861.91:1861.91:1861.91 1952.71:1952.71:1952.71 -IOPATH A[13] ACCUMCO 1374.04:1374.04:1374.04 1645.15:1645.15:1645.15 -IOPATH A[13] CO 1946.44:1946.44:1946.44 2191.14:2191.14:2191.14 -IOPATH A[13] O[29] 1693.22:1693.22:1693.22 1837.49:1837.49:1837.49 -IOPATH A[13] O[30] 1783.13:1783.13:1783.13 1899.84:1899.84:1899.84 -IOPATH A[13] O[31] 1828.64:1828.64:1828.64 1912.84:1912.84:1912.84 -IOPATH A[14] ACCUMCO 1417.36:1417.36:1417.36 1630.6:1630.6:1630.6 -IOPATH A[14] CO 1989.77:1989.77:1989.77 2176.59:2176.59:2176.59 -IOPATH A[14] O[30] 1722.21:1722.21:1722.21 1838.92:1838.92:1838.92 -IOPATH A[14] O[31] 1803.31:1803.31:1803.31 1887.52:1887.52:1887.52 -IOPATH A[15] ACCUMCO 1495.99:1495.99:1495.99 1787.14:1787.14:1787.14 -IOPATH A[15] CO 2068.4:2068.4:2068.4 2333.13:2333.13:2333.13 -IOPATH A[15] O[31] 1831.86:1831.86:1831.86 1893.08:1893.08:1893.08 -IOPATH A[15] SIGNEXTOUT 864.788:864.788:864.788 824.954:824.954:824.954 -IOPATH ADDSUBBOT O[0] 1453:1453:1453 1570.62:1570.62:1570.62 -IOPATH ADDSUBBOT O[1] 1442.73:1442.73:1442.73 1552:1552:1552 -IOPATH ADDSUBBOT O[2] 1662.2:1662.2:1662.2 1778.14:1778.14:1778.14 -IOPATH ADDSUBBOT O[3] 1783.28:1783.28:1783.28 1904.89:1904.89:1904.89 -IOPATH ADDSUBBOT O[4] 2072.2:2072.2:2072.2 2199.06:2199.06:2199.06 -IOPATH ADDSUBBOT O[5] 2196.37:2196.37:2196.37 2343.08:2343.08:2343.08 -IOPATH ADDSUBBOT O[6] 2337.61:2337.61:2337.61 2495.06:2495.06:2495.06 -IOPATH ADDSUBBOT O[7] 2395.68:2395.68:2395.68 2544:2544:2544 -IOPATH ADDSUBBOT O[8] 2385.31:2385.31:2385.31 2565.64:2565.64:2565.64 -IOPATH ADDSUBBOT O[9] 2515:2515:2515 2715.1:2715.1:2715.1 -IOPATH ADDSUBBOT O[10] 2581.01:2581.01:2581.01 2761.06:2761.06:2761.06 -IOPATH ADDSUBBOT O[11] 2807.41:2807.41:2807.41 2981.79:2981.79:2981.79 -IOPATH ADDSUBBOT O[12] 2602.38:2602.38:2602.38 2755.76:2755.76:2755.76 -IOPATH ADDSUBBOT O[13] 2714.43:2714.43:2714.43 2875.37:2875.37:2875.37 -IOPATH ADDSUBBOT O[14] 3039.39:3039.39:3039.39 3218.56:3218.56:3218.56 -IOPATH ADDSUBBOT O[15] 2950.22:2950.22:2950.22 3143.59:3143.59:3143.59 -IOPATH ADDSUBTOP ACCUMCO 1599.62:1599.62:1599.62 1888.31:1888.31:1888.31 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH ADDSUBTOP O[16] 1805.18:1805.18:1805.18 2041.03:2041.03:2041.03 -IOPATH ADDSUBTOP O[17] 1843.44:1843.44:1843.44 2059.19:2059.19:2059.19 -IOPATH ADDSUBTOP O[18] 1995.15:1995.15:1995.15 2239.82:2239.82:2239.82 -IOPATH ADDSUBTOP O[19] 2167.9:2167.9:2167.9 2358.95:2358.95:2358.95 -IOPATH ADDSUBTOP O[20] 2368.24:2368.24:2368.24 2539.41:2539.41:2539.41 -IOPATH ADDSUBTOP O[21] 2304.32:2304.32:2304.32 2469.85:2469.85:2469.85 -IOPATH ADDSUBTOP O[22] 2470.07:2470.07:2470.07 2676.01:2676.01:2676.01 -IOPATH ADDSUBTOP O[23] 2530.2:2530.2:2530.2 2707.11:2707.11:2707.11 -IOPATH ADDSUBTOP O[24] 2447.48:2447.48:2447.48 2604.91:2604.91:2604.91 -IOPATH ADDSUBTOP O[25] 2560.42:2560.42:2560.42 2743.38:2743.38:2743.38 -IOPATH ADDSUBTOP O[26] 2723.3:2723.3:2723.3 2923.59:2923.59:2923.59 -IOPATH ADDSUBTOP O[27] 2714.27:2714.27:2714.27 2878.72:2878.72:2878.72 -IOPATH ADDSUBTOP O[28] 2645.07:2645.07:2645.07 2802.63:2802.63:2802.63 -IOPATH ADDSUBTOP O[29] 2730.22:2730.22:2730.22 2890.76:2890.76:2890.76 -IOPATH ADDSUBTOP O[30] 2797.22:2797.22:2797.22 2927.21:2927.21:2927.21 -IOPATH ADDSUBTOP O[31] 2847.63:2847.63:2847.63 2937.75:2937.75:2937.75 -IOPATH B[0] O[0] 1603.94:1603.94:1603.94 1721.56:1721.56:1721.56 -IOPATH B[0] O[1] 1606.3:1606.3:1606.3 1715.54:1715.54:1715.54 -IOPATH B[0] O[2] 1733.6:1733.6:1733.6 1858.91:1858.91:1858.91 -IOPATH B[0] O[3] 1829.96:1829.96:1829.96 1961.16:1961.16:1961.16 -IOPATH B[0] O[4] 2084.94:2084.94:2084.94 2211.8:2211.8:2211.8 -IOPATH B[0] O[5] 2209.11:2209.11:2209.11 2355.82:2355.82:2355.82 -IOPATH B[0] O[6] 2350.34:2350.34:2350.34 2507.8:2507.8:2507.8 -IOPATH B[0] O[7] 2408.41:2408.41:2408.41 2556.74:2556.74:2556.74 -IOPATH B[0] O[8] 2398.05:2398.05:2398.05 2578.38:2578.38:2578.38 -IOPATH B[0] O[9] 2527.74:2527.74:2527.74 2727.83:2727.83:2727.83 -IOPATH B[0] O[10] 2593.74:2593.74:2593.74 2773.8:2773.8:2773.8 -IOPATH B[0] O[11] 2820.15:2820.15:2820.15 2994.53:2994.53:2994.53 -IOPATH B[0] O[12] 2615.12:2615.12:2615.12 2768.5:2768.5:2768.5 -IOPATH B[0] O[13] 2727.17:2727.17:2727.17 2888.11:2888.11:2888.11 -IOPATH B[0] O[14] 3052.13:3052.13:3052.13 3231.3:3231.3:3231.3 -IOPATH B[0] O[15] 2962.96:2962.96:2962.96 3156.33:3156.33:3156.33 -IOPATH B[1] O[1] 1624.6:1624.6:1624.6 1759.06:1759.06:1759.06 -IOPATH B[1] O[2] 1801.71:1801.71:1801.71 1927.02:1927.02:1927.02 -IOPATH B[1] O[3] 1898.06:1898.06:1898.06 2029.27:2029.27:2029.27 -IOPATH B[1] O[4] 2151.53:2151.53:2151.53 2278.39:2278.39:2278.39 -IOPATH B[1] O[5] 2275.7:2275.7:2275.7 2422.41:2422.41:2422.41 -IOPATH B[1] O[6] 2416.93:2416.93:2416.93 2574.38:2574.38:2574.38 -IOPATH B[1] O[7] 2475:2475:2475 2623.33:2623.33:2623.33 -IOPATH B[1] O[8] 2464.64:2464.64:2464.64 2644.97:2644.97:2644.97 -IOPATH B[1] O[9] 2594.33:2594.33:2594.33 2794.42:2794.42:2794.42 -IOPATH B[1] O[10] 2660.33:2660.33:2660.33 2840.39:2840.39:2840.39 -IOPATH B[1] O[11] 2886.74:2886.74:2886.74 3061.12:3061.12:3061.12 -IOPATH B[1] O[12] 2681.71:2681.71:2681.71 2835.08:2835.08:2835.08 -IOPATH B[1] O[13] 2793.76:2793.76:2793.76 2954.7:2954.7:2954.7 -IOPATH B[1] O[14] 3118.72:3118.72:3118.72 3297.89:3297.89:3297.89 -IOPATH B[1] O[15] 3029.55:3029.55:3029.55 3222.92:3222.92:3222.92 -IOPATH B[2] O[2] 1671.3:1671.3:1671.3 1822.61:1822.61:1822.61 -IOPATH B[2] O[3] 1818.17:1818.17:1818.17 1949.37:1949.37:1949.37 -IOPATH B[2] O[4] 2116.66:2116.66:2116.66 2243.53:2243.53:2243.53 -IOPATH B[2] O[5] 2240.83:2240.83:2240.83 2387.54:2387.54:2387.54 -IOPATH B[2] O[6] 2382.07:2382.07:2382.07 2539.52:2539.52:2539.52 -IOPATH B[2] O[7] 2440.14:2440.14:2440.14 2588.47:2588.47:2588.47 -IOPATH B[2] O[8] 2429.78:2429.78:2429.78 2610.1:2610.1:2610.1 -IOPATH B[2] O[9] 2559.47:2559.47:2559.47 2759.56:2759.56:2759.56 -IOPATH B[2] O[10] 2625.47:2625.47:2625.47 2805.53:2805.53:2805.53 -IOPATH B[2] O[11] 2851.88:2851.88:2851.88 3026.25:3026.25:3026.25 -IOPATH B[2] O[12] 2646.85:2646.85:2646.85 2800.22:2800.22:2800.22 -IOPATH B[2] O[13] 2758.9:2758.9:2758.9 2919.84:2919.84:2919.84 -IOPATH B[2] O[14] 3083.86:3083.86:3083.86 3263.03:3263.03:3263.03 -IOPATH B[2] O[15] 2994.69:2994.69:2994.69 3188.05:3188.05:3188.05 -IOPATH B[3] O[3] 1604.07:1604.07:1604.07 1759.87:1759.87:1759.87 -IOPATH B[3] O[4] 2005.81:2005.81:2005.81 2132.67:2132.67:2132.67 -IOPATH B[3] O[5] 2129.98:2129.98:2129.98 2276.69:2276.69:2276.69 -IOPATH B[3] O[6] 2271.21:2271.21:2271.21 2428.66:2428.66:2428.66 -IOPATH B[3] O[7] 2329.28:2329.28:2329.28 2477.61:2477.61:2477.61 -IOPATH B[3] O[8] 2318.92:2318.92:2318.92 2499.25:2499.25:2499.25 -IOPATH B[3] O[9] 2448.61:2448.61:2448.61 2648.7:2648.7:2648.7 -IOPATH B[3] O[10] 2514.61:2514.61:2514.61 2694.67:2694.67:2694.67 -IOPATH B[3] O[11] 2741.02:2741.02:2741.02 2915.4:2915.4:2915.4 -IOPATH B[3] O[12] 2535.99:2535.99:2535.99 2689.36:2689.36:2689.36 -IOPATH B[3] O[13] 2648.04:2648.04:2648.04 2808.98:2808.98:2808.98 -IOPATH B[3] O[14] 2973:2973:2973 3152.17:3152.17:3152.17 -IOPATH B[3] O[15] 2883.83:2883.83:2883.83 3077.2:3077.2:3077.2 -IOPATH B[4] O[4] 1703.15:1703.15:1703.15 1855.18:1855.18:1855.18 -IOPATH B[4] O[5] 1877.41:1877.41:1877.41 2024.12:2024.12:2024.12 -IOPATH B[4] O[6] 2018.65:2018.65:2018.65 2176.1:2176.1:2176.1 -IOPATH B[4] O[7] 2076.72:2076.72:2076.72 2225.04:2225.04:2225.04 -IOPATH B[4] O[8] 2243.49:2243.49:2243.49 2423.81:2423.81:2423.81 -IOPATH B[4] O[9] 2373.17:2373.17:2373.17 2573.26:2573.26:2573.26 -IOPATH B[4] O[10] 2439.17:2439.17:2439.17 2619.23:2619.23:2619.23 -IOPATH B[4] O[11] 2665.58:2665.58:2665.58 2839.96:2839.96:2839.96 -IOPATH B[4] O[12] 2460.51:2460.51:2460.51 2613.89:2613.89:2613.89 -IOPATH B[4] O[13] 2572.56:2572.56:2572.56 2733.5:2733.5:2733.5 -IOPATH B[4] O[14] 2897.52:2897.52:2897.52 3076.69:3076.69:3076.69 -IOPATH B[4] O[15] 2808.35:2808.35:2808.35 3001.72:3001.72:3001.72 -IOPATH B[5] O[5] 1714.18:1714.18:1714.18 1887.16:1887.16:1887.16 -IOPATH B[5] O[6] 1906.2:1906.2:1906.2 2063.65:2063.65:2063.65 -IOPATH B[5] O[7] 1964.27:1964.27:1964.27 2112.6:2112.6:2112.6 -IOPATH B[5] O[8] 2301:2301:2301 2481.32:2481.32:2481.32 -IOPATH B[5] O[9] 2430.68:2430.68:2430.68 2630.77:2630.77:2630.77 -IOPATH B[5] O[10] 2496.68:2496.68:2496.68 2676.74:2676.74:2676.74 -IOPATH B[5] O[11] 2723.09:2723.09:2723.09 2897.46:2897.46:2897.46 -IOPATH B[5] O[12] 2518.02:2518.02:2518.02 2671.4:2671.4:2671.4 -IOPATH B[5] O[13] 2630.07:2630.07:2630.07 2791.01:2791.01:2791.01 -IOPATH B[5] O[14] 2955.03:2955.03:2955.03 3134.2:3134.2:3134.2 -IOPATH B[5] O[15] 2865.86:2865.86:2865.86 3059.23:3059.23:3059.23 -IOPATH B[6] O[6] 1748.02:1748.02:1748.02 1931.53:1931.53:1931.53 -IOPATH B[6] O[7] 1856.64:1856.64:1856.64 2004.97:2004.97:2004.97 -IOPATH B[6] O[8] 2230.05:2230.05:2230.05 2410.37:2410.37:2410.37 -IOPATH B[6] O[9] 2359.73:2359.73:2359.73 2559.82:2559.82:2559.82 -IOPATH B[6] O[10] 2425.74:2425.74:2425.74 2605.79:2605.79:2605.79 -IOPATH B[6] O[11] 2652.14:2652.14:2652.14 2826.52:2826.52:2826.52 -IOPATH B[6] O[12] 2447.08:2447.08:2447.08 2600.45:2600.45:2600.45 -IOPATH B[6] O[13] 2559.13:2559.13:2559.13 2720.06:2720.06:2720.06 -IOPATH B[6] O[14] 2884.09:2884.09:2884.09 3063.26:3063.26:3063.26 -IOPATH B[6] O[15] 2794.92:2794.92:2794.92 2988.28:2988.28:2988.28 -IOPATH B[7] O[7] 1683.68:1683.68:1683.68 1856.36:1856.36:1856.36 -IOPATH B[7] O[8] 2144.55:2144.55:2144.55 2324.87:2324.87:2324.87 -IOPATH B[7] O[9] 2274.23:2274.23:2274.23 2474.32:2474.32:2474.32 -IOPATH B[7] O[10] 2340.23:2340.23:2340.23 2520.29:2520.29:2520.29 -IOPATH B[7] O[11] 2566.64:2566.64:2566.64 2741.02:2741.02:2741.02 -IOPATH B[7] O[12] 2361.57:2361.57:2361.57 2514.94:2514.94:2514.94 -IOPATH B[7] O[13] 2473.62:2473.62:2473.62 2634.56:2634.56:2634.56 -IOPATH B[7] O[14] 2798.58:2798.58:2798.58 2977.75:2977.75:2977.75 -IOPATH B[7] O[15] 2709.41:2709.41:2709.41 2902.78:2902.78:2902.78 -IOPATH B[8] O[8] 1671.5:1671.5:1671.5 1844.09:1844.09:1844.09 -IOPATH B[8] O[9] 1825.3:1825.3:1825.3 2018.23:2018.23:2018.23 -IOPATH B[8] O[10] 1884.14:1884.14:1884.14 2064.2:2064.2:2064.2 -IOPATH B[8] O[11] 2110.55:2110.55:2110.55 2284.93:2284.93:2284.93 -IOPATH B[8] O[12] 2138.04:2138.04:2138.04 2291.31:2291.31:2291.31 -IOPATH B[8] O[13] 2250:2250:2250 2410.94:2410.94:2410.94 -IOPATH B[8] O[14] 2574.96:2574.96:2574.96 2754.13:2754.13:2754.13 -IOPATH B[8] O[15] 2485.79:2485.79:2485.79 2679.15:2679.15:2679.15 -IOPATH B[9] O[9] 1789.81:1789.81:1789.81 1973.74:1973.74:1973.74 -IOPATH B[9] O[10] 1879.05:1879.05:1879.05 2043.83:2043.83:2043.83 -IOPATH B[9] O[11] 2093.51:2093.51:2093.51 2250.18:2250.18:2250.18 -IOPATH B[9] O[12] 2189.76:2189.76:2189.76 2343.04:2343.04:2343.04 -IOPATH B[9] O[13] 2301.72:2301.72:2301.72 2462.66:2462.66:2462.66 -IOPATH B[9] O[14] 2626.68:2626.68:2626.68 2805.86:2805.86:2805.86 -IOPATH B[9] O[15] 2537.52:2537.52:2537.52 2730.88:2730.88:2730.88 -IOPATH B[10] O[10] 1704.13:1704.13:1704.13 1886.27:1886.27:1886.27 -IOPATH B[10] O[11] 1957.26:1957.26:1957.26 2131.63:2131.63:2131.63 -IOPATH B[10] O[12] 2118.23:2118.23:2118.23 2271.51:2271.51:2271.51 -IOPATH B[10] O[13] 2230.19:2230.19:2230.19 2391.13:2391.13:2391.13 -IOPATH B[10] O[14] 2555.15:2555.15:2555.15 2734.32:2734.32:2734.32 -IOPATH B[10] O[15] 2465.98:2465.98:2465.98 2659.35:2659.35:2659.35 -IOPATH B[11] O[11] 1953.7:1953.7:1953.7 2110.38:2110.38:2110.38 -IOPATH B[11] O[12] 2188.36:2188.36:2188.36 2326.76:2326.76:2326.76 -IOPATH B[11] O[13] 2286.82:2286.82:2286.82 2433.22:2433.22:2433.22 -IOPATH B[11] O[14] 2597.15:2597.15:2597.15 2776.41:2776.41:2776.41 -IOPATH B[11] O[15] 2508.07:2508.07:2508.07 2701.44:2701.44:2701.44 -IOPATH B[12] O[12] 1849.2:1849.2:1849.2 1987.6:1987.6:1987.6 -IOPATH B[12] O[13] 1984.24:1984.24:1984.24 2129.29:2129.29:2129.29 -IOPATH B[12] O[14] 2294.58:2294.58:2294.58 2458.88:2458.88:2458.88 -IOPATH B[12] O[15] 2192.33:2192.33:2192.33 2373.47:2373.47:2373.47 -IOPATH B[13] O[13] 1720.64:1720.64:1720.64 1877.4:1877.4:1877.4 -IOPATH B[13] O[14] 2065.25:2065.25:2065.25 2244.42:2244.42:2244.42 -IOPATH B[13] O[15] 1976.08:1976.08:1976.08 2169.44:2169.44:2169.44 -IOPATH B[14] O[14] 1893.12:1893.12:1893.12 2057.42:2057.42:2057.42 -IOPATH B[14] O[15] 1826.54:1826.54:1826.54 2002.41:2002.41:2002.41 -IOPATH B[15] O[15] 1981.1:1981.1:1981.1 2199.56:2199.56:2199.56 -IOPATH C[0] ACCUMCO 1412.28:1412.28:1412.28 1806.67:1806.67:1806.67 -IOPATH C[0] CO 1984.68:1984.68:1984.68 2352.66:2352.66:2352.66 -IOPATH C[0] O[16] 1756.79:1756.79:1756.79 1992.64:1992.64:1992.64 -IOPATH C[0] O[17] 1787.09:1787.09:1787.09 2010.8:2010.8:2010.8 -IOPATH C[0] O[18] 1918.05:1918.05:1918.05 2168.5:2168.5:2168.5 -IOPATH C[0] O[19] 2096.58:2096.58:2096.58 2287.63:2287.63:2287.63 -IOPATH C[0] O[20] 2286.6:2286.6:2286.6 2457.78:2457.78:2457.78 -IOPATH C[0] O[21] 2222.68:2222.68:2222.68 2388.21:2388.21:2388.21 -IOPATH C[0] O[22] 2388.43:2388.43:2388.43 2594.37:2594.37:2594.37 -IOPATH C[0] O[23] 2448.56:2448.56:2448.56 2625.47:2625.47:2625.47 -IOPATH C[0] O[24] 2365.84:2365.84:2365.84 2523.27:2523.27:2523.27 -IOPATH C[0] O[25] 2478.78:2478.78:2478.78 2661.74:2661.74:2661.74 -IOPATH C[0] O[26] 2641.66:2641.66:2641.66 2841.95:2841.95:2841.95 -IOPATH C[0] O[27] 2632.63:2632.63:2632.63 2797.08:2797.08:2797.08 -IOPATH C[0] O[28] 2563.43:2563.43:2563.43 2720.99:2720.99:2720.99 -IOPATH C[0] O[29] 2648.58:2648.58:2648.58 2809.12:2809.12:2809.12 -IOPATH C[0] O[30] 2715.58:2715.58:2715.58 2845.57:2845.57:2845.57 -IOPATH C[0] O[31] 2765.99:2765.99:2765.99 2856.11:2856.11:2856.11 -IOPATH C[1] ACCUMCO 1595.69:1595.69:1595.69 1884.38:1884.38:1884.38 -IOPATH C[1] CO 2168.09:2168.09:2168.09 2430.37:2430.37:2430.37 -IOPATH C[1] O[17] 1839.51:1839.51:1839.51 2053.37:2053.37:2053.37 -IOPATH C[1] O[18] 1991.22:1991.22:1991.22 2235.89:2235.89:2235.89 -IOPATH C[1] O[19] 2163.96:2163.96:2163.96 2355.01:2355.01:2355.01 -IOPATH C[1] O[20] 2364.31:2364.31:2364.31 2535.48:2535.48:2535.48 -IOPATH C[1] O[21] 2300.39:2300.39:2300.39 2465.92:2465.92:2465.92 -IOPATH C[1] O[22] 2466.14:2466.14:2466.14 2672.08:2672.08:2672.08 -IOPATH C[1] O[23] 2526.27:2526.27:2526.27 2703.18:2703.18:2703.18 -IOPATH C[1] O[24] 2443.55:2443.55:2443.55 2600.98:2600.98:2600.98 -IOPATH C[1] O[25] 2556.49:2556.49:2556.49 2739.45:2739.45:2739.45 -IOPATH C[1] O[26] 2719.37:2719.37:2719.37 2919.66:2919.66:2919.66 -IOPATH C[1] O[27] 2710.34:2710.34:2710.34 2874.79:2874.79:2874.79 -IOPATH C[1] O[28] 2641.14:2641.14:2641.14 2798.69:2798.69:2798.69 -IOPATH C[1] O[29] 2726.29:2726.29:2726.29 2886.83:2886.83:2886.83 -IOPATH C[1] O[30] 2793.29:2793.29:2793.29 2923.28:2923.28:2923.28 -IOPATH C[1] O[31] 2843.7:2843.7:2843.7 2933.82:2933.82:2933.82 -IOPATH C[2] ACCUMCO 1536.42:1536.42:1536.42 1780.98:1780.98:1780.98 -IOPATH C[2] CO 2108.82:2108.82:2108.82 2326.97:2326.97:2326.97 -IOPATH C[2] O[18] 1820.77:1820.77:1820.77 2058.4:2058.4:2058.4 -IOPATH C[2] O[19] 2023.62:2023.62:2023.62 2201.63:2201.63:2201.63 -IOPATH C[2] O[20] 2260.91:2260.91:2260.91 2432.08:2432.08:2432.08 -IOPATH C[2] O[21] 2196.98:2196.98:2196.98 2362.51:2362.51:2362.51 -IOPATH C[2] O[22] 2362.74:2362.74:2362.74 2568.67:2568.67:2568.67 -IOPATH C[2] O[23] 2422.86:2422.86:2422.86 2599.77:2599.77:2599.77 -IOPATH C[2] O[24] 2340.15:2340.15:2340.15 2497.58:2497.58:2497.58 -IOPATH C[2] O[25] 2453.08:2453.08:2453.08 2636.04:2636.04:2636.04 -IOPATH C[2] O[26] 2615.97:2615.97:2615.97 2816.25:2816.25:2816.25 -IOPATH C[2] O[27] 2606.93:2606.93:2606.93 2771.39:2771.39:2771.39 -IOPATH C[2] O[28] 2537.73:2537.73:2537.73 2695.29:2695.29:2695.29 -IOPATH C[2] O[29] 2622.89:2622.89:2622.89 2783.43:2783.43:2783.43 -IOPATH C[2] O[30] 2689.88:2689.88:2689.88 2819.87:2819.87:2819.87 -IOPATH C[2] O[31] 2740.29:2740.29:2740.29 2830.41:2830.41:2830.41 -IOPATH C[3] ACCUMCO 1474.57:1474.57:1474.57 1735.56:1735.56:1735.56 -IOPATH C[3] CO 2046.98:2046.98:2046.98 2281.55:2281.55:2281.55 -IOPATH C[3] O[19] 1901.59:1901.59:1901.59 2090.42:2090.42:2090.42 -IOPATH C[3] O[20] 2215.97:2215.97:2215.97 2387.15:2387.15:2387.15 -IOPATH C[3] O[21] 2152.05:2152.05:2152.05 2317.58:2317.58:2317.58 -IOPATH C[3] O[22] 2317.8:2317.8:2317.8 2523.74:2523.74:2523.74 -IOPATH C[3] O[23] 2377.93:2377.93:2377.93 2554.84:2554.84:2554.84 -IOPATH C[3] O[24] 2295.21:2295.21:2295.21 2452.64:2452.64:2452.64 -IOPATH C[3] O[25] 2408.15:2408.15:2408.15 2591.11:2591.11:2591.11 -IOPATH C[3] O[26] 2571.03:2571.03:2571.03 2771.32:2771.32:2771.32 -IOPATH C[3] O[27] 2562:2562:2562 2726.45:2726.45:2726.45 -IOPATH C[3] O[28] 2492.8:2492.8:2492.8 2650.35:2650.35:2650.35 -IOPATH C[3] O[29] 2577.95:2577.95:2577.95 2738.49:2738.49:2738.49 -IOPATH C[3] O[30] 2644.95:2644.95:2644.95 2774.94:2774.94:2774.94 -IOPATH C[3] O[31] 2695.36:2695.36:2695.36 2785.48:2785.48:2785.48 -IOPATH C[4] ACCUMCO 1487.76:1487.76:1487.76 1845.62:1845.62:1845.62 -IOPATH C[4] CO 2060.16:2060.16:2060.16 2391.61:2391.61:2391.61 -IOPATH C[4] O[20] 1870.7:1870.7:1870.7 2032.24:2032.24:2032.24 -IOPATH C[4] O[21] 1832.25:1832.25:1832.25 1987.49:1987.49:1987.49 -IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65 -IOPATH C[4] O[23] 2047.84:2047.84:2047.84 2224.75:2224.75:2224.75 -IOPATH C[4] O[24] 2187.5:2187.5:2187.5 2344.92:2344.92:2344.92 -IOPATH C[4] O[25] 2300.42:2300.42:2300.42 2483.39:2483.39:2483.39 -IOPATH C[4] O[26] 2463.31:2463.31:2463.31 2663.59:2663.59:2663.59 -IOPATH C[4] O[27] 2454.27:2454.27:2454.27 2618.73:2618.73:2618.73 -IOPATH C[4] O[28] 2385.04:2385.04:2385.04 2542.6:2542.6:2542.6 -IOPATH C[4] O[29] 2470.2:2470.2:2470.2 2630.73:2630.73:2630.73 -IOPATH C[4] O[30] 2537.19:2537.19:2537.19 2667.18:2667.18:2667.18 -IOPATH C[4] O[31] 2587.6:2587.6:2587.6 2677.72:2677.72:2677.72 -IOPATH C[5] ACCUMCO 1510.26:1510.26:1510.26 1834.88:1834.88:1834.88 -IOPATH C[5] CO 2082.66:2082.66:2082.66 2380.87:2380.87:2380.87 -IOPATH C[5] O[21] 1684.53:1684.53:1684.53 1839.9:1839.9:1839.9 -IOPATH C[5] O[22] 1872.71:1872.71:1872.71 2070.8:2070.8:2070.8 -IOPATH C[5] O[23] 1924.99:1924.99:1924.99 2101.9:2101.9:2101.9 -IOPATH C[5] O[24] 2176.77:2176.77:2176.77 2334.2:2334.2:2334.2 -IOPATH C[5] O[25] 2289.7:2289.7:2289.7 2472.66:2472.66:2472.66 -IOPATH C[5] O[26] 2452.58:2452.58:2452.58 2652.87:2652.87:2652.87 -IOPATH C[5] O[27] 2443.55:2443.55:2443.55 2608:2608:2608 -IOPATH C[5] O[28] 2374.31:2374.31:2374.31 2531.87:2531.87:2531.87 -IOPATH C[5] O[29] 2459.47:2459.47:2459.47 2620:2620:2620 -IOPATH C[5] O[30] 2526.46:2526.46:2526.46 2656.45:2656.45:2656.45 -IOPATH C[5] O[31] 2576.87:2576.87:2576.87 2666.99:2666.99:2666.99 -IOPATH C[6] ACCUMCO 1504.21:1504.21:1504.21 1786.71:1786.71:1786.71 -IOPATH C[6] CO 2076.61:2076.61:2076.61 2332.69:2332.69:2332.69 -IOPATH C[6] O[22] 1757.48:1757.48:1757.48 1952.53:1952.53:1952.53 -IOPATH C[6] O[23] 1842.03:1842.03:1842.03 2008.05:2008.05:2008.05 -IOPATH C[6] O[24] 2128.59:2128.59:2128.59 2286.02:2286.02:2286.02 -IOPATH C[6] O[25] 2241.52:2241.52:2241.52 2424.48:2424.48:2424.48 -IOPATH C[6] O[26] 2404.4:2404.4:2404.4 2604.69:2604.69:2604.69 -IOPATH C[6] O[27] 2395.37:2395.37:2395.37 2559.82:2559.82:2559.82 -IOPATH C[6] O[28] 2326.13:2326.13:2326.13 2483.69:2483.69:2483.69 -IOPATH C[6] O[29] 2411.29:2411.29:2411.29 2571.82:2571.82:2571.82 -IOPATH C[6] O[30] 2478.28:2478.28:2478.28 2608.27:2608.27:2608.27 -IOPATH C[6] O[31] 2528.69:2528.69:2528.69 2618.81:2618.81:2618.81 -IOPATH C[7] ACCUMCO 1432.38:1432.38:1432.38 1712.07:1712.07:1712.07 -IOPATH C[7] CO 2004.78:2004.78:2004.78 2258.06:2258.06:2258.06 -IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36 -IOPATH C[7] O[24] 2055.16:2055.16:2055.16 2212.58:2212.58:2212.58 -IOPATH C[7] O[25] 2168.08:2168.08:2168.08 2351.04:2351.04:2351.04 -IOPATH C[7] O[26] 2330.97:2330.97:2330.97 2531.25:2531.25:2531.25 -IOPATH C[7] O[27] 2321.93:2321.93:2321.93 2486.38:2486.38:2486.38 -IOPATH C[7] O[28] 2252.69:2252.69:2252.69 2410.25:2410.25:2410.25 -IOPATH C[7] O[29] 2337.85:2337.85:2337.85 2498.38:2498.38:2498.38 -IOPATH C[7] O[30] 2404.84:2404.84:2404.84 2534.83:2534.83:2534.83 -IOPATH C[7] O[31] 2455.25:2455.25:2455.25 2545.37:2545.37:2545.37 -IOPATH C[8] ACCUMCO 1416.85:1416.85:1416.85 1632.52:1632.52:1632.52 -IOPATH C[8] CO 1989.26:1989.26:1989.26 2178.51:2178.51:2178.51 -IOPATH C[8] O[24] 1668.35:1668.35:1668.35 1816.04:1816.04:1816.04 -IOPATH C[8] O[25] 1805.04:1805.04:1805.04 1979.35:1979.35:1979.35 -IOPATH C[8] O[26] 1959.27:1959.27:1959.27 2159.56:2159.56:2159.56 -IOPATH C[8] O[27] 1950.24:1950.24:1950.24 2114.69:2114.69:2114.69 -IOPATH C[8] O[28] 2100.49:2100.49:2100.49 2258.05:2258.05:2258.05 -IOPATH C[8] O[29] 2185.64:2185.64:2185.64 2346.18:2346.18:2346.18 -IOPATH C[8] O[30] 2252.64:2252.64:2252.64 2382.63:2382.63:2382.63 -IOPATH C[8] O[31] 2303.05:2303.05:2303.05 2393.17:2393.17:2393.17 -IOPATH C[9] ACCUMCO 1413.17:1413.17:1413.17 1655.36:1655.36:1655.36 -IOPATH C[9] CO 1985.57:1985.57:1985.57 2201.35:2201.35:2201.35 -IOPATH C[9] O[25] 1663.42:1663.42:1663.42 1838.08:1838.08:1838.08 -IOPATH C[9] O[26] 1850.78:1850.78:1850.78 2043.25:2043.25:2043.25 -IOPATH C[9] O[27] 1833.93:1833.93:1833.93 1998.38:1998.38:1998.38 -IOPATH C[9] O[28] 2123.33:2123.33:2123.33 2280.88:2280.88:2280.88 -IOPATH C[9] O[29] 2208.48:2208.48:2208.48 2369.01:2369.01:2369.01 -IOPATH C[9] O[30] 2275.47:2275.47:2275.47 2405.46:2405.46:2405.46 -IOPATH C[9] O[31] 2325.88:2325.88:2325.88 2416:2416:2416 -IOPATH C[10] ACCUMCO 1439.25:1439.25:1439.25 1625.49:1625.49:1625.49 -IOPATH C[10] CO 2011.66:2011.66:2011.66 2171.47:2171.47:2171.47 -IOPATH C[10] O[26] 1745.33:1745.33:1745.33 1934.52:1934.52:1934.52 -IOPATH C[10] O[27] 1762.22:1762.22:1762.22 1914.07:1914.07:1914.07 -IOPATH C[10] O[28] 2084.01:2084.01:2084.01 2241.57:2241.57:2241.57 -IOPATH C[10] O[29] 2169.16:2169.16:2169.16 2329.7:2329.7:2329.7 -IOPATH C[10] O[30] 2236.16:2236.16:2236.16 2366.15:2366.15:2366.15 -IOPATH C[10] O[31] 2286.57:2286.57:2286.57 2376.69:2376.69:2376.69 -IOPATH C[11] ACCUMCO 1375.29:1375.29:1375.29 1569.95:1569.95:1569.95 -IOPATH C[11] CO 1947.69:1947.69:1947.69 2115.94:2115.94:2115.94 -IOPATH C[11] O[27] 1601.96:1601.96:1601.96 1764.23:1764.23:1764.23 -IOPATH C[11] O[28] 1996.83:1996.83:1996.83 2154.38:2154.38:2154.38 -IOPATH C[11] O[29] 2081.98:2081.98:2081.98 2242.52:2242.52:2242.52 -IOPATH C[11] O[30] 2148.98:2148.98:2148.98 2278.96:2278.96:2278.96 -IOPATH C[11] O[31] 2199.38:2199.38:2199.38 2289.5:2289.5:2289.5 -IOPATH C[12] ACCUMCO 1249.9:1249.9:1249.9 1542.03:1542.03:1542.03 -IOPATH C[12] CO 1822.3:1822.3:1822.3 2088.02:2088.02:2088.02 -IOPATH C[12] O[28] 1619.99:1619.99:1619.99 1773.03:1773.03:1773.03 -IOPATH C[12] O[29] 1728.76:1728.76:1728.76 1886:1886:1886 -IOPATH C[12] O[30] 1792.46:1792.46:1792.46 1922.44:1922.44:1922.44 -IOPATH C[12] O[31] 1842.86:1842.86:1842.86 1932.99:1932.99:1932.99 -IOPATH C[13] ACCUMCO 1340.12:1340.12:1340.12 1622.28:1622.28:1622.28 -IOPATH C[13] CO 1912.52:1912.52:1912.52 2168.27:2168.27:2168.27 -IOPATH C[13] O[29] 1659.3:1659.3:1659.3 1805.2:1805.2:1805.2 -IOPATH C[13] O[30] 1749.29:1749.29:1749.29 1866.4:1866.4:1866.4 -IOPATH C[13] O[31] 1786.82:1786.82:1786.82 1879.01:1879.01:1879.01 -IOPATH C[14] ACCUMCO 1285.8:1285.8:1285.8 1529.3:1529.3:1529.3 -IOPATH C[14] CO 1858.21:1858.21:1858.21 2075.29:2075.29:2075.29 -IOPATH C[14] O[30] 1590.67:1590.67:1590.67 1707.02:1707.02:1707.02 -IOPATH C[14] O[31] 1671.85:1671.85:1671.85 1756.05:1756.05:1756.05 -IOPATH C[15] ACCUMCO 1226.47:1226.47:1226.47 1473.03:1473.03:1473.03 -IOPATH C[15] CO 1798.87:1798.87:1798.87 2019.01:2019.01:2019.01 -IOPATH C[15] O[31] 1512.79:1512.79:1512.79 1605.4:1605.4:1605.4 -IOPATH D[0] O[0] 1535.01:1535.01:1535.01 1652.63:1652.63:1652.63 -IOPATH D[0] O[1] 1524.75:1524.75:1524.75 1634.01:1634.01:1634.01 -IOPATH D[0] O[2] 1652.08:1652.08:1652.08 1777.39:1777.39:1777.39 -IOPATH D[0] O[3] 1748.44:1748.44:1748.44 1879.64:1879.64:1879.64 -IOPATH D[0] O[4] 2003.68:2003.68:2003.68 2130.54:2130.54:2130.54 -IOPATH D[0] O[5] 2127.85:2127.85:2127.85 2274.56:2274.56:2274.56 -IOPATH D[0] O[6] 2269.08:2269.08:2269.08 2426.54:2426.54:2426.54 -IOPATH D[0] O[7] 2327.15:2327.15:2327.15 2475.48:2475.48:2475.48 -IOPATH D[0] O[8] 2316.79:2316.79:2316.79 2497.12:2497.12:2497.12 -IOPATH D[0] O[9] 2446.48:2446.48:2446.48 2646.57:2646.57:2646.57 -IOPATH D[0] O[10] 2512.48:2512.48:2512.48 2692.54:2692.54:2692.54 -IOPATH D[0] O[11] 2738.89:2738.89:2738.89 2913.27:2913.27:2913.27 -IOPATH D[0] O[12] 2533.86:2533.86:2533.86 2687.24:2687.24:2687.24 -IOPATH D[0] O[13] 2645.91:2645.91:2645.91 2806.85:2806.85:2806.85 -IOPATH D[0] O[14] 2970.87:2970.87:2970.87 3150.04:3150.04:3150.04 -IOPATH D[0] O[15] 2881.7:2881.7:2881.7 3075.07:3075.07:3075.07 -IOPATH D[1] O[1] 1577.63:1577.63:1577.63 1677.32:1677.32:1677.32 -IOPATH D[1] O[2] 1727.22:1727.22:1727.22 1845.27:1845.27:1845.27 -IOPATH D[1] O[3] 1816.31:1816.31:1816.31 1947.51:1947.51:1947.51 -IOPATH D[1] O[4] 2069.74:2069.74:2069.74 2196.61:2196.61:2196.61 -IOPATH D[1] O[5] 2193.91:2193.91:2193.91 2340.62:2340.62:2340.62 -IOPATH D[1] O[6] 2335.15:2335.15:2335.15 2492.6:2492.6:2492.6 -IOPATH D[1] O[7] 2393.22:2393.22:2393.22 2541.55:2541.55:2541.55 -IOPATH D[1] O[8] 2382.86:2382.86:2382.86 2563.18:2563.18:2563.18 -IOPATH D[1] O[9] 2512.55:2512.55:2512.55 2712.64:2712.64:2712.64 -IOPATH D[1] O[10] 2578.55:2578.55:2578.55 2758.61:2758.61:2758.61 -IOPATH D[1] O[11] 2804.96:2804.96:2804.96 2979.34:2979.34:2979.34 -IOPATH D[1] O[12] 2599.93:2599.93:2599.93 2753.3:2753.3:2753.3 -IOPATH D[1] O[13] 2711.98:2711.98:2711.98 2872.92:2872.92:2872.92 -IOPATH D[1] O[14] 3036.94:3036.94:3036.94 3216.11:3216.11:3216.11 -IOPATH D[1] O[15] 2947.77:2947.77:2947.77 3141.13:3141.13:3141.13 -IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2 -IOPATH D[2] O[3] 1699.35:1699.35:1699.35 1820.95:1820.95:1820.95 -IOPATH D[2] O[4] 1988.26:1988.26:1988.26 2115.13:2115.13:2115.13 -IOPATH D[2] O[5] 2112.43:2112.43:2112.43 2259.15:2259.15:2259.15 -IOPATH D[2] O[6] 2253.67:2253.67:2253.67 2411.12:2411.12:2411.12 -IOPATH D[2] O[7] 2311.74:2311.74:2311.74 2460.07:2460.07:2460.07 -IOPATH D[2] O[8] 2301.38:2301.38:2301.38 2481.7:2481.7:2481.7 -IOPATH D[2] O[9] 2431.07:2431.07:2431.07 2631.16:2631.16:2631.16 -IOPATH D[2] O[10] 2497.07:2497.07:2497.07 2677.13:2677.13:2677.13 -IOPATH D[2] O[11] 2723.48:2723.48:2723.48 2897.86:2897.86:2897.86 -IOPATH D[2] O[12] 2518.45:2518.45:2518.45 2671.82:2671.82:2671.82 -IOPATH D[2] O[13] 2630.5:2630.5:2630.5 2791.44:2791.44:2791.44 -IOPATH D[2] O[14] 2955.46:2955.46:2955.46 3134.63:3134.63:3134.63 -IOPATH D[2] O[15] 2866.29:2866.29:2866.29 3059.65:3059.65:3059.65 -IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1690.72:1690.72:1690.72 -IOPATH D[3] O[4] 1936.7:1936.7:1936.7 2063.57:2063.57:2063.57 -IOPATH D[3] O[5] 2060.87:2060.87:2060.87 2207.59:2207.59:2207.59 -IOPATH D[3] O[6] 2202.11:2202.11:2202.11 2359.56:2359.56:2359.56 -IOPATH D[3] O[7] 2260.18:2260.18:2260.18 2408.51:2408.51:2408.51 -IOPATH D[3] O[8] 2249.82:2249.82:2249.82 2430.14:2430.14:2430.14 -IOPATH D[3] O[9] 2379.51:2379.51:2379.51 2579.6:2579.6:2579.6 -IOPATH D[3] O[10] 2445.51:2445.51:2445.51 2625.57:2625.57:2625.57 -IOPATH D[3] O[11] 2671.92:2671.92:2671.92 2846.29:2846.29:2846.29 -IOPATH D[3] O[12] 2466.89:2466.89:2466.89 2620.26:2620.26:2620.26 -IOPATH D[3] O[13] 2578.94:2578.94:2578.94 2739.88:2739.88:2739.88 -IOPATH D[3] O[14] 2903.9:2903.9:2903.9 3083.07:3083.07:3083.07 -IOPATH D[3] O[15] 2814.73:2814.73:2814.73 3008.09:3008.09:3008.09 -IOPATH D[4] O[4] 1593.47:1593.47:1593.47 1716.48:1716.48:1716.48 -IOPATH D[4] O[5] 1740.38:1740.38:1740.38 1885.4:1885.4:1885.4 -IOPATH D[4] O[6] 1879.93:1879.93:1879.93 2037.38:2037.38:2037.38 -IOPATH D[4] O[7] 1938:1938:1938 2086.32:2086.32:2086.32 -IOPATH D[4] O[8] 2140.76:2140.76:2140.76 2321.07:2321.07:2321.07 -IOPATH D[4] O[9] 2270.43:2270.43:2270.43 2470.53:2470.53:2470.53 -IOPATH D[4] O[10] 2336.44:2336.44:2336.44 2516.49:2516.49:2516.49 -IOPATH D[4] O[11] 2562.85:2562.85:2562.85 2737.22:2737.22:2737.22 -IOPATH D[4] O[12] 2357.78:2357.78:2357.78 2511.15:2511.15:2511.15 -IOPATH D[4] O[13] 2469.83:2469.83:2469.83 2630.77:2630.77:2630.77 -IOPATH D[4] O[14] 2794.79:2794.79:2794.79 2973.96:2973.96:2973.96 -IOPATH D[4] O[15] 2705.62:2705.62:2705.62 2898.99:2898.99:2898.99 -IOPATH D[5] O[5] 1644.75:1644.75:1644.75 1780.64:1780.64:1780.64 -IOPATH D[5] O[6] 1808.82:1808.82:1808.82 1957.12:1957.12:1957.12 -IOPATH D[5] O[7] 1857.32:1857.32:1857.32 2006.06:2006.06:2006.06 -IOPATH D[5] O[8] 2194.44:2194.44:2194.44 2374.76:2374.76:2374.76 -IOPATH D[5] O[9] 2324.12:2324.12:2324.12 2524.21:2524.21:2524.21 -IOPATH D[5] O[10] 2390.13:2390.13:2390.13 2570.18:2570.18:2570.18 -IOPATH D[5] O[11] 2616.53:2616.53:2616.53 2790.91:2790.91:2790.91 -IOPATH D[5] O[12] 2411.47:2411.47:2411.47 2564.84:2564.84:2564.84 -IOPATH D[5] O[13] 2523.52:2523.52:2523.52 2684.46:2684.46:2684.46 -IOPATH D[5] O[14] 2848.48:2848.48:2848.48 3027.65:3027.65:3027.65 -IOPATH D[5] O[15] 2759.31:2759.31:2759.31 2952.67:2952.67:2952.67 -IOPATH D[6] O[6] 1641.59:1641.59:1641.59 1788.99:1788.99:1788.99 -IOPATH D[6] O[7] 1726.25:1726.25:1726.25 1862.41:1862.41:1862.41 -IOPATH D[6] O[8] 2087.51:2087.51:2087.51 2267.83:2267.83:2267.83 -IOPATH D[6] O[9] 2217.19:2217.19:2217.19 2417.28:2417.28:2417.28 -IOPATH D[6] O[10] 2283.2:2283.2:2283.2 2463.25:2463.25:2463.25 -IOPATH D[6] O[11] 2509.6:2509.6:2509.6 2683.98:2683.98:2683.98 -IOPATH D[6] O[12] 2304.54:2304.54:2304.54 2457.91:2457.91:2457.91 -IOPATH D[6] O[13] 2416.59:2416.59:2416.59 2577.53:2577.53:2577.53 -IOPATH D[6] O[14] 2741.55:2741.55:2741.55 2920.72:2920.72:2920.72 -IOPATH D[6] O[15] 2652.38:2652.38:2652.38 2845.74:2845.74:2845.74 -IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54 -IOPATH D[7] O[8] 2066.49:2066.49:2066.49 2241.1:2241.1:2241.1 -IOPATH D[7] O[9] 2190.46:2190.46:2190.46 2390.56:2390.56:2390.56 -IOPATH D[7] O[10] 2256.47:2256.47:2256.47 2436.52:2436.52:2436.52 -IOPATH D[7] O[11] 2482.88:2482.88:2482.88 2657.25:2657.25:2657.25 -IOPATH D[7] O[12] 2277.8:2277.8:2277.8 2431.18:2431.18:2431.18 -IOPATH D[7] O[13] 2389.85:2389.85:2389.85 2550.79:2550.79:2550.79 -IOPATH D[7] O[14] 2714.82:2714.82:2714.82 2893.99:2893.99:2893.99 -IOPATH D[7] O[15] 2625.64:2625.64:2625.64 2819.01:2819.01:2819.01 -IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38 -IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5 -IOPATH D[8] O[10] 1907.41:1907.41:1907.41 2087.47:2087.47:2087.47 -IOPATH D[8] O[11] 2133.82:2133.82:2133.82 2308.2:2308.2:2308.2 -IOPATH D[8] O[12] 2125.45:2125.45:2125.45 2278.72:2278.72:2278.72 -IOPATH D[8] O[13] 2237.41:2237.41:2237.41 2398.35:2398.35:2398.35 -IOPATH D[8] O[14] 2562.37:2562.37:2562.37 2741.54:2741.54:2741.54 -IOPATH D[8] O[15] 2473.2:2473.2:2473.2 2666.57:2666.57:2666.57 -IOPATH D[9] O[9] 1729.76:1729.76:1729.76 1921.35:1921.35:1921.35 -IOPATH D[9] O[10] 1819.09:1819.09:1819.09 1991.92:1991.92:1991.92 -IOPATH D[9] O[11] 2038.27:2038.27:2038.27 2212.65:2212.65:2212.65 -IOPATH D[9] O[12] 2159.94:2159.94:2159.94 2313.22:2313.22:2313.22 -IOPATH D[9] O[13] 2271.9:2271.9:2271.9 2432.84:2432.84:2432.84 -IOPATH D[9] O[14] 2596.86:2596.86:2596.86 2776.04:2776.04:2776.04 -IOPATH D[9] O[15] 2507.69:2507.69:2507.69 2701.06:2701.06:2701.06 -IOPATH D[10] O[10] 1676.68:1676.68:1676.68 1845.21:1845.21:1845.21 -IOPATH D[10] O[11] 1927.47:1927.47:1927.47 2090.56:2090.56:2090.56 -IOPATH D[10] O[12] 2077.19:2077.19:2077.19 2230.46:2230.46:2230.46 -IOPATH D[10] O[13] 2189.15:2189.15:2189.15 2350.09:2350.09:2350.09 -IOPATH D[10] O[14] 2514.11:2514.11:2514.11 2693.28:2693.28:2693.28 -IOPATH D[10] O[15] 2424.94:2424.94:2424.94 2618.31:2618.31:2618.31 -IOPATH D[11] O[11] 1794.33:1794.33:1794.33 1966.76:1966.76:1966.76 -IOPATH D[11] O[12] 2032:2032:2032 2185.26:2185.26:2185.26 -IOPATH D[11] O[13] 2143.94:2143.94:2143.94 2304.88:2304.88:2304.88 -IOPATH D[11] O[14] 2468.9:2468.9:2468.9 2648.08:2648.08:2648.08 -IOPATH D[11] O[15] 2379.73:2379.73:2379.73 2573.1:2573.1:2573.1 -IOPATH D[12] O[12] 1672.29:1672.29:1672.29 1815.52:1815.52:1815.52 -IOPATH D[12] O[13] 1807.43:1807.43:1807.43 1959.55:1959.55:1959.55 -IOPATH D[12] O[14] 2123.57:2123.57:2123.57 2302.74:2302.74:2302.74 -IOPATH D[12] O[15] 2034.4:2034.4:2034.4 2227.77:2227.77:2227.77 -IOPATH D[13] O[13] 1691.06:1691.06:1691.06 1837.69:1837.69:1837.69 -IOPATH D[13] O[14] 2035.69:2035.69:2035.69 2204.68:2204.68:2204.68 -IOPATH D[13] O[15] 1936.33:1936.33:1936.33 2129.7:2129.7:2129.7 -IOPATH D[14] O[14] 1885.41:1885.41:1885.41 2050.52:2050.52:2050.52 -IOPATH D[14] O[15] 1818.91:1818.91:1818.91 1999.97:1999.97:1999.97 -IOPATH D[15] O[15] 1716.3:1716.3:1716.3 1907.17:1907.17:1907.17 -IOPATH OLOADBOT O[0] 930.106:930.106:930.106 1000.14:1000.14:1000.14 -IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426 -IOPATH OLOADBOT O[2] 928.829:928.829:928.829 1027.86:1027.86:1027.86 -IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563 -IOPATH OLOADBOT O[4] 929.142:929.142:929.142 994.617:994.617:994.617 -IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445 -IOPATH OLOADBOT O[6] 984.111:984.111:984.111 1116.85:1116.85:1116.85 -IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956 -IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2 -IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46 -IOPATH OLOADBOT O[10] 1004.49:1004.49:1004.49 1120.52:1120.52:1120.52 -IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72 -IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66 -IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42 -IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74 -IOPATH OLOADBOT O[15] 1025.74:1025.74:1025.74 1189.82:1189.82:1189.82 -IOPATH OLOADTOP O[16] 1123.39:1123.39:1123.39 1301.49:1301.49:1301.49 -IOPATH OLOADTOP O[17] 1061.51:1061.51:1061.51 1311.62:1311.62:1311.62 -IOPATH OLOADTOP O[18] 960.736:960.736:960.736 1195.65:1195.65:1195.65 -IOPATH OLOADTOP O[19] 1075.24:1075.24:1075.24 1220.06:1220.06:1220.06 -IOPATH OLOADTOP O[20] 998.828:998.828:998.828 1123.23:1123.23:1123.23 -IOPATH OLOADTOP O[21] 947.354:947.354:947.354 1139.75:1139.75:1139.75 -IOPATH OLOADTOP O[22] 1067.04:1067.04:1067.04 1200.08:1200.08:1200.08 -IOPATH OLOADTOP O[23] 983.054:983.054:983.054 1189.04:1189.04:1189.04 -IOPATH OLOADTOP O[24] 815.867:815.867:815.867 962.766:962.766:962.766 -IOPATH OLOADTOP O[25] 1029.87:1029.87:1029.87 1181.83:1181.83:1181.83 -IOPATH OLOADTOP O[26] 1035.45:1035.45:1035.45 1263.44:1263.44:1263.44 -IOPATH OLOADTOP O[27] 997.557:997.557:997.557 1090.52:1090.52:1090.52 -IOPATH OLOADTOP O[28] 923.324:923.324:923.324 1109.8:1109.8:1109.8 -IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 -IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 -IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 +IOPATH A[0] ACCUMCO 721.272:1261.08:1942.93 945.346:1652.85:2546.53 +IOPATH A[0] CO 1002.71:1753.15:2701.05 1213.79:2122.21:3269.67 +IOPATH A[0] O[16] 887.266:1551.31:2390.08 1016.5:1777.27:2738.22 +IOPATH A[0] O[17] 936.135:1636.75:2521.72 1046.12:1829.05:2817.99 +IOPATH A[0] O[18] 1000.51:1749.31:2695.14 1123.65:1964.61:3026.85 +IOPATH A[0] O[19] 1088.29:1902.78:2931.59 1182.22:2067.02:3184.63 +IOPATH A[0] O[20] 1181.31:2065.43:3182.18 1265.48:2212.58:3408.89 +IOPATH A[0] O[21] 1149.89:2010.48:3097.52 1231.27:2152.77:3316.75 +IOPATH A[0] O[22] 1231.38:2152.97:3317.05 1332.64:2330:3589.8 +IOPATH A[0] O[23] 1260.95:2204.65:3396.68 1347.93:2356.73:3630.99 +IOPATH A[0] O[24] 1220.28:2133.55:3287.13 1297.68:2268.88:3495.64 +IOPATH A[0] O[25] 1275.8:2230.63:3436.71 1365.76:2387.91:3679.03 +IOPATH A[0] O[26] 1355.89:2370.65:3652.44 1454.37:2542.83:3917.71 +IOPATH A[0] O[27] 1351.45:2362.89:3640.48 1432.31:2504.26:3858.29 +IOPATH A[0] O[28] 1317.42:2303.4:3548.82 1394.89:2438.84:3757.5 +IOPATH A[0] O[29] 1359.29:2376.61:3661.61 1438.22:2514.61:3874.23 +IOPATH A[0] O[30] 1392.23:2434.2:3750.34 1456.14:2545.94:3922.5 +IOPATH A[0] O[31] 1417.02:2477.53:3817.1 1461.33:2555:3936.46 +IOPATH A[1] ACCUMCO 838.392:1465.86:2258.43 973.75:1702.52:2623.05 +IOPATH A[1] CO 1119.83:1957.92:3016.55 1242.2:2171.87:3346.18 +IOPATH A[1] O[17] 958.27:1675.45:2581.35 1060.66:1854.47:2857.16 +IOPATH A[1] O[18] 1032.83:1805.81:2782.19 1148.35:2007.79:3093.38 +IOPATH A[1] O[19] 1115.34:1950.07:3004.45 1201.76:2101.17:3237.25 +IOPATH A[1] O[20] 1209.72:2115.09:3258.69 1293.88:2262.24:3485.4 +IOPATH A[1] O[21] 1178.29:2060.14:3174.03 1259.68:2202.43:3393.26 +IOPATH A[1] O[22] 1259.79:2202.63:3393.56 1361.04:2379.66:3666.31 +IOPATH A[1] O[23] 1289.35:2254.31:3473.19 1376.33:2406.39:3707.5 +IOPATH A[1] O[24] 1248.68:2183.21:3363.64 1326.08:2318.54:3572.15 +IOPATH A[1] O[25] 1304.21:2280.29:3513.22 1394.16:2437.57:3755.54 +IOPATH A[1] O[26] 1384.29:2420.32:3728.95 1482.77:2592.49:3994.22 +IOPATH A[1] O[27] 1379.85:2412.55:3716.99 1460.71:2553.92:3934.8 +IOPATH A[1] O[28] 1345.83:2353.06:3625.33 1423.29:2488.51:3834.01 +IOPATH A[1] O[29] 1387.7:2426.27:3738.12 1466.63:2564.27:3950.74 +IOPATH A[1] O[30] 1420.64:2483.86:3826.85 1484.55:2595.6:3999.01 +IOPATH A[1] O[31] 1445.42:2527.19:3893.61 1489.73:2604.66:4012.98 +IOPATH A[2] ACCUMCO 810.367:1416.86:2182.93 915.519:1600.71:2466.19 +IOPATH A[2] CO 1091.8:1908.92:2941.06 1183.97:2070.06:3189.32 +IOPATH A[2] O[18] 950.159:1661.27:2559.5 1065.68:1863.25:2870.69 +IOPATH A[2] O[19] 1049.85:1835.58:2828.05 1136.28:1986.68:3060.86 +IOPATH A[2] O[20] 1158.5:2025.53:3120.71 1235.65:2160.43:3328.55 +IOPATH A[2] O[21] 1121.16:1960.24:3020.12 1201.45:2100.62:3236.41 +IOPATH A[2] O[22] 1201.56:2100.81:3236.7 1302.81:2277.85:3509.46 +IOPATH A[2] O[23] 1231.12:2152.5:3316.33 1318.1:2304.58:3550.64 +IOPATH A[2] O[24] 1190.45:2081.4:3206.79 1267.85:2216.73:3415.29 +IOPATH A[2] O[25] 1245.98:2178.48:3356.36 1335.93:2335.76:3598.68 +IOPATH A[2] O[26] 1326.06:2318.51:3572.1 1424.54:2490.68:3837.36 +IOPATH A[2] O[27] 1321.62:2310.74:3560.13 1402.48:2452.11:3777.94 +IOPATH A[2] O[28] 1287.6:2251.25:3468.47 1365.06:2386.7:3677.15 +IOPATH A[2] O[29] 1329.47:2324.46:3581.26 1408.4:2462.46:3793.88 +IOPATH A[2] O[30] 1362.41:2382.05:3669.99 1426.32:2493.79:3842.16 +IOPATH A[2] O[31] 1387.19:2425.38:3736.75 1431.5:2502.85:3856.12 +IOPATH A[3] ACCUMCO 766.295:1339.8:2064.21 879.382:1537.52:2368.84 +IOPATH A[3] CO 1047.73:1831.87:2822.34 1147.83:2006.88:3091.98 +IOPATH A[3] O[19] 976.215:1706.83:2629.69 1062.64:1857.93:2862.49 +IOPATH A[3] O[20] 1115.59:1950.51:3005.12 1199.75:2097.66:3231.83 +IOPATH A[3] O[21] 1084.16:1895.55:2920.46 1165.54:2037.85:3139.69 +IOPATH A[3] O[22] 1165.65:2038.04:3139.99 1266.91:2215.08:3412.75 +IOPATH A[3] O[23] 1195.22:2089.73:3219.63 1282.2:2241.81:3453.94 +IOPATH A[3] O[24] 1154.55:2018.63:3110.08 1231.95:2153.96:3318.58 +IOPATH A[3] O[25] 1210.07:2115.71:3259.65 1300.03:2272.99:3501.97 +IOPATH A[3] O[26] 1290.16:2255.73:3475.38 1388.64:2427.91:3740.65 +IOPATH A[3] O[27] 1285.72:2247.97:3463.42 1366.58:2389.34:3681.23 +IOPATH A[3] O[28] 1251.69:2188.48:3371.76 1329.16:2323.92:3580.44 +IOPATH A[3] O[29] 1293.56:2261.68:3484.55 1372.5:2399.69:3697.17 +IOPATH A[3] O[30] 1326.5:2319.28:3573.28 1390.42:2431.02:3745.44 +IOPATH A[3] O[31] 1351.29:2362.61:3640.04 1395.6:2440.08:3759.41 +IOPATH A[4] ACCUMCO 782.338:1367.85:2107.43 978.783:1711.32:2636.61 +IOPATH A[4] CO 1063.77:1859.92:2865.55 1247.23:2180.67:3359.74 +IOPATH A[4] O[20] 970.679:1697.15:2614.78 1047.01:1830.6:2820.38 +IOPATH A[4] O[21] 951.729:1664.02:2563.73 1025.23:1792.53:2761.73 +IOPATH A[4] O[22] 1026.46:1794.68:2765.04 1120.35:1958.84:3017.96 +IOPATH A[4] O[23] 1050.36:1836.47:2829.42 1130.28:1976.2:3044.71 +IOPATH A[4] O[24] 1146.88:2005.22:3089.41 1224.28:2140.54:3297.91 +IOPATH A[4] O[25] 1202.4:2102.29:3238.97 1292.36:2259.57:3481.3 +IOPATH A[4] O[26] 1282.49:2242.32:3454.71 1380.96:2414.49:3719.98 +IOPATH A[4] O[27] 1278.04:2234.55:3442.74 1358.9:2375.92:3660.55 +IOPATH A[4] O[28] 1244:2175.03:3351.04 1321.47:2310.47:3559.72 +IOPATH A[4] O[29] 1285.87:2248.23:3463.83 1364.8:2386.24:3676.45 +IOPATH A[4] O[30] 1318.81:2305.83:3552.56 1382.72:2417.57:3724.72 +IOPATH A[4] O[31] 1343.6:2349.16:3619.32 1387.91:2426.63:3738.68 +IOPATH A[5] ACCUMCO 753.582:1317.57:2029.97 898.161:1570.36:2419.43 +IOPATH A[5] CO 1035.02:1809.64:2788.09 1166.61:2039.71:3142.56 +IOPATH A[5] O[21] 839.269:1467.39:2260.79 912.774:1595.91:2458.79 +IOPATH A[5] O[22] 931.749:1629.08:2509.91 1025.64:1793.24:2762.83 +IOPATH A[5] O[23] 955.649:1670.87:2574.29 1035.57:1810.6:2789.58 +IOPATH A[5] O[24] 1066.26:1864.26:2872.24 1143.66:1999.59:3080.74 +IOPATH A[5] O[25] 1121.78:1961.33:3021.8 1211.74:2118.62:3264.13 +IOPATH A[5] O[26] 1201.87:2101.36:3237.54 1300.34:2273.53:3502.81 +IOPATH A[5] O[27] 1197.42:2093.59:3225.57 1278.28:2234.96:3443.38 +IOPATH A[5] O[28] 1163.38:2034.07:3133.87 1240.85:2169.52:3342.55 +IOPATH A[5] O[29] 1205.25:2107.28:3246.66 1284.18:2245.28:3459.28 +IOPATH A[5] O[30] 1238.19:2164.87:3335.39 1302.1:2276.61:3507.55 +IOPATH A[5] O[31] 1262.98:2208.2:3402.15 1307.29:2285.68:3521.51 +IOPATH A[6] ACCUMCO 824.168:1440.99:2220.11 948.181:1657.81:2554.17 +IOPATH A[6] CO 1105.6:1933.05:2978.23 1216.63:2127.17:3277.31 +IOPATH A[6] O[22] 948.677:1658.68:2555.51 1042.57:1822.84:2808.43 +IOPATH A[6] O[23] 990.204:1731.29:2667.37 1070.13:1871.02:2882.66 +IOPATH A[6] O[24] 1121.33:1960.54:3020.58 1193.68:2087.05:3215.49 +IOPATH A[6] O[25] 1171.8:2048.79:3156.55 1261.76:2206.07:3398.87 +IOPATH A[6] O[26] 1251.89:2188.82:3372.28 1350.36:2360.99:3637.55 +IOPATH A[6] O[27] 1247.45:2181.05:3360.32 1328.3:2322.42:3578.13 +IOPATH A[6] O[28] 1213.4:2121.53:3268.61 1290.87:2256.97:3477.3 +IOPATH A[6] O[29] 1255.27:2194.73:3381.4 1334.2:2332.74:3594.03 +IOPATH A[6] O[30] 1288.21:2252.33:3470.14 1352.12:2364.07:3642.3 +IOPATH A[6] O[31] 1313:2295.66:3536.9 1357.31:2373.13:3656.26 +IOPATH A[7] ACCUMCO 788.568:1378.74:2124.21 910.902:1592.63:2453.75 +IOPATH A[7] CO 1070:1870.81:2882.34 1179.35:2061.99:3176.89 +IOPATH A[7] O[23] 914.479:1598.89:2463.39 994.4:1738.62:2678.67 +IOPATH A[7] O[24] 1090.89:1907.32:2938.59 1160.88:2029.71:3127.14 +IOPATH A[7] O[25] 1139.5:1992.32:3069.54 1225.07:2141.93:3300.04 +IOPATH A[7] O[26] 1215.2:2124.67:3273.45 1313.67:2296.84:3538.72 +IOPATH A[7] O[27] 1210.76:2116.9:3261.48 1291.61:2258.27:3479.3 +IOPATH A[7] O[28] 1176.71:2057.38:3169.78 1254.18:2192.82:3378.46 +IOPATH A[7] O[29] 1218.58:2130.58:3282.57 1297.51:2268.59:3495.19 +IOPATH A[7] O[30] 1251.52:2188.18:3371.3 1315.43:2299.92:3543.46 +IOPATH A[7] O[31] 1276.31:2231.51:3438.06 1320.62:2308.98:3557.42 +IOPATH A[8] ACCUMCO 770.069:1346.4:2074.38 895.272:1565.3:2411.65 +IOPATH A[8] CO 1051.51:1838.47:2832.5 1163.72:2034.66:3134.78 +IOPATH A[8] O[24] 893.792:1562.72:2407.66 963.788:1685.1:2596.21 +IOPATH A[8] O[25] 960.949:1680.13:2588.56 1043.18:1823.9:2810.06 +IOPATH A[8] O[26] 1035.09:1809.76:2788.28 1126.05:1968.8:3033.31 +IOPATH A[8] O[27] 1025.78:1793.49:2763.21 1098.99:1921.49:2960.41 +IOPATH A[8] O[28] 1125.36:1967.6:3031.45 1202.83:2103.04:3240.12 +IOPATH A[8] O[29] 1167.23:2040.8:3144.23 1246.16:2178.8:3356.85 +IOPATH A[8] O[30] 1200.17:2098.39:3232.96 1264.08:2210.13:3405.13 +IOPATH A[8] O[31] 1224.95:2141.72:3299.72 1269.26:2219.19:3419.09 +IOPATH A[9] ACCUMCO 828.826:1449.13:2232.66 952.845:1665.97:2566.73 +IOPATH A[9] CO 1110.26:1941.2:2990.78 1221.29:2135.32:3289.87 +IOPATH A[9] O[25] 951.957:1664.41:2564.34 1034.18:1808.18:2785.84 +IOPATH A[9] O[26] 1043.97:1825.3:2812.22 1134.94:1984.34:3057.25 +IOPATH A[9] O[27] 1034.67:1809.02:2787.14 1107.87:1937.02:2984.34 +IOPATH A[9] O[28] 1182.94:2068.26:3186.54 1260.4:2203.7:3395.21 +IOPATH A[9] O[29] 1224.8:2141.46:3299.32 1303.73:2279.46:3511.94 +IOPATH A[9] O[30] 1257.74:2199.05:3388.05 1321.65:2310.79:3560.21 +IOPATH A[9] O[31] 1282.52:2242.38:3454.81 1326.83:2319.85:3574.17 +IOPATH A[10] ACCUMCO 772.297:1350.29:2080.38 848.764:1483.99:2286.36 +IOPATH A[10] CO 1053.73:1842.36:2838.5 1117.21:1953.35:3009.5 +IOPATH A[10] O[26] 922.831:1613.49:2485.89 1013.79:1772.53:2730.91 +IOPATH A[10] O[27] 931.094:1627.94:2508.14 1004.3:1755.93:2705.34 +IOPATH A[10] O[28] 1074.16:1878.07:2893.52 1151.69:2013.63:3102.38 +IOPATH A[10] O[29] 1116.09:1951.39:3006.49 1195.03:2089.4:3219.11 +IOPATH A[10] O[30] 1149.03:2008.99:3095.22 1212.95:2120.73:3267.38 +IOPATH A[10] O[31] 1173.82:2052.32:3161.98 1218.13:2129.79:3281.35 +IOPATH A[11] ACCUMCO 701.263:1226.1:1889.03 781.597:1366.55:2105.43 +IOPATH A[11] CO 982.7:1718.16:2647.16 1050.04:1835.91:2828.57 +IOPATH A[11] O[27] 812.677:1420.89:2189.16 885.883:1548.89:2386.35 +IOPATH A[11] O[28] 992.789:1735.81:2674.34 1068.95:1868.97:2879.51 +IOPATH A[11] O[29] 1033.36:1806.73:2783.61 1112.29:1944.74:2996.23 +IOPATH A[11] O[30] 1066.3:1864.32:2872.34 1130.21:1976.07:3044.5 +IOPATH A[11] O[31] 1091.08:1907.66:2939.1 1135.39:1985.13:3058.47 +IOPATH A[12] ACCUMCO 634.356:1109.12:1708.8 795.427:1390.73:2142.69 +IOPATH A[12] CO 915.793:1601.18:2466.93 1063.87:1860.09:2865.82 +IOPATH A[12] O[28] 816.391:1427.39:2199.16 886.073:1549.22:2386.87 +IOPATH A[12] O[29] 869.819:1520.8:2343.08 940.754:1644.83:2534.17 +IOPATH A[12] O[30] 896.321:1567.14:2414.47 954.581:1669:2571.41 +IOPATH A[12] O[31] 915.453:1600.59:2466.01 960.099:1678.65:2586.28 +IOPATH A[13] ACCUMCO 675.581:1181.19:1819.85 808.878:1414.25:2178.92 +IOPATH A[13] CO 957.018:1673.26:2577.97 1077.33:1883.61:2902.06 +IOPATH A[13] O[29] 832.515:1455.58:2242.59 903.45:1579.6:2433.68 +IOPATH A[13] O[30] 876.721:1532.87:2361.68 934.105:1633.2:2516.25 +IOPATH A[13] O[31] 899.094:1571.99:2421.94 940.497:1644.38:2533.47 +IOPATH A[14] ACCUMCO 696.881:1218.44:1877.23 801.725:1401.75:2159.66 +IOPATH A[14] CO 978.317:1710.5:2635.35 1070.17:1871.11:2882.79 +IOPATH A[14] O[30] 846.768:1480.5:2280.99 904.151:1580.83:2435.57 +IOPATH A[14] O[31] 886.64:1550.21:2388.39 928.044:1622.6:2499.93 +IOPATH A[15] ACCUMCO 735.543:1286.03:1981.38 878.693:1536.32:2366.99 +IOPATH A[15] CO 1016.98:1778.1:2739.5 1147.14:2005.68:3090.12 +IOPATH A[15] O[31] 900.679:1574.76:2426.21 930.782:1627.39:2507.3 +IOPATH A[15] SIGNEXTOUT 425.195:743.416:1145.37 405.609:709.172:1092.61 +IOPATH ADDSUBBOT O[0] 714.402:1249.07:1924.43 772.234:1350.18:2080.21 +IOPATH ADDSUBBOT O[1] 709.356:1240.25:1910.83 763.078:1334.17:2055.55 +IOPATH ADDSUBBOT O[2] 817.262:1428.91:2201.51 874.267:1528.58:2355.06 +IOPATH ADDSUBBOT O[3] 876.796:1533:2361.88 936.584:1637.54:2522.93 +IOPATH ADDSUBBOT O[4] 1018.85:1781.37:2744.53 1081.22:1890.42:2912.56 +IOPATH ADDSUBBOT O[5] 1079.9:1888.11:2908.99 1152.03:2014.23:3103.3 +IOPATH ADDSUBBOT O[6] 1149.34:2009.52:3096.05 1226.76:2144.88:3304.59 +IOPATH ADDSUBBOT O[7] 1177.89:2059.44:3172.96 1250.82:2186.95:3369.41 +IOPATH ADDSUBBOT O[8] 1172.8:2050.54:3159.24 1261.46:2205.55:3398.07 +IOPATH ADDSUBBOT O[9] 1236.56:2162.02:3331.01 1334.94:2334.03:3596.02 +IOPATH ADDSUBBOT O[10] 1269.02:2218.76:3418.42 1357.54:2373.55:3656.9 +IOPATH ADDSUBBOT O[11] 1380.33:2413.39:3718.29 1466.07:2563.3:3949.24 +IOPATH ADDSUBBOT O[12] 1279.53:2237.14:3446.74 1354.94:2368.99:3649.87 +IOPATH ADDSUBBOT O[13] 1334.62:2333.46:3595.14 1413.75:2471.82:3808.3 +IOPATH ADDSUBBOT O[14] 1494.39:2612.82:4025.53 1582.49:2766.84:4262.84 +IOPATH ADDSUBBOT O[15] 1450.55:2536.16:3907.44 1545.62:2702.39:4163.54 +IOPATH ADDSUBTOP ACCUMCO 786.495:1375.12:2118.63 928.436:1623.29:2500.98 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH ADDSUBTOP O[16] 887.564:1551.83:2390.88 1003.52:1754.58:2703.25 +IOPATH ADDSUBTOP O[17] 906.373:1584.71:2441.55 1012.45:1770.19:2727.31 +IOPATH ADDSUBTOP O[18] 980.967:1715.14:2642.49 1101.26:1925.46:2966.54 +IOPATH ADDSUBTOP O[19] 1065.9:1863.63:2871.28 1159.83:2027.87:3124.31 +IOPATH ADDSUBTOP O[20] 1164.4:2035.86:3136.62 1248.57:2183.01:3363.34 +IOPATH ADDSUBTOP O[21] 1132.98:1980.91:3051.96 1214.36:2123.21:3271.2 +IOPATH ADDSUBTOP O[22] 1214.47:2123.4:3271.49 1315.73:2300.43:3544.25 +IOPATH ADDSUBTOP O[23] 1244.03:2175.08:3351.13 1331.02:2327.17:3585.44 +IOPATH ADDSUBTOP O[24] 1203.37:2103.98:3241.58 1280.77:2239.32:3450.09 +IOPATH ADDSUBTOP O[25] 1258.89:2201.06:3391.15 1348.85:2358.35:3633.48 +IOPATH ADDSUBTOP O[26] 1338.98:2341.09:3606.89 1437.46:2513.26:3872.16 +IOPATH ADDSUBTOP O[27] 1334.54:2333.32:3594.92 1415.4:2474.7:3812.73 +IOPATH ADDSUBTOP O[28] 1300.51:2273.83:3503.27 1377.98:2409.28:3711.95 +IOPATH ADDSUBTOP O[29] 1342.38:2347.04:3616.05 1421.31:2485.04:3828.68 +IOPATH ADDSUBTOP O[30] 1375.32:2404.63:3704.79 1439.23:2516.37:3876.95 +IOPATH ADDSUBTOP O[31] 1400.11:2447.96:3771.55 1444.42:2525.44:3890.91 +IOPATH B[0] O[0] 788.617:1378.83:2124.34 846.449:1479.94:2280.13 +IOPATH B[0] O[1] 789.777:1380.86:2127.47 843.489:1474.77:2272.16 +IOPATH B[0] O[2] 852.366:1490.29:2296.07 913.981:1598.02:2462.04 +IOPATH B[0] O[3] 899.744:1573.12:2423.69 964.253:1685.91:2597.46 +IOPATH B[0] O[4] 1025.11:1792.32:2761.4 1087.49:1901.38:2929.43 +IOPATH B[0] O[5] 1086.16:1899.06:2925.86 1158.3:2025.18:3120.17 +IOPATH B[0] O[6] 1155.6:2020.47:3112.92 1233.02:2155.83:3321.46 +IOPATH B[0] O[7] 1184.16:2070.39:3189.83 1257.09:2197.9:3386.28 +IOPATH B[0] O[8] 1179.06:2061.49:3176.11 1267.72:2216.5:3414.94 +IOPATH B[0] O[9] 1242.83:2172.97:3347.88 1341.21:2344.98:3612.89 +IOPATH B[0] O[10] 1275.28:2229.71:3435.29 1363.81:2384.5:3673.77 +IOPATH B[0] O[11] 1386.6:2424.35:3735.16 1472.33:2574.25:3966.12 +IOPATH B[0] O[12] 1285.79:2248.09:3463.61 1361.2:2379.94:3666.74 +IOPATH B[0] O[13] 1340.88:2344.41:3612.01 1420.01:2482.77:3825.17 +IOPATH B[0] O[14] 1500.66:2623.77:4042.41 1588.75:2777.79:4279.71 +IOPATH B[0] O[15] 1456.81:2547.11:3924.31 1551.89:2713.34:4180.41 +IOPATH B[1] O[1] 798.776:1396.59:2151.71 864.885:1512.18:2329.79 +IOPATH B[1] O[2] 885.855:1548.84:2386.28 947.469:1656.57:2552.25 +IOPATH B[1] O[3] 933.23:1631.67:2513.9 997.739:1744.46:2687.67 +IOPATH B[1] O[4] 1057.85:1849.56:2849.6 1120.23:1958.62:3017.62 +IOPATH B[1] O[5] 1118.9:1956.3:3014.05 1191.04:2082.42:3208.37 +IOPATH B[1] O[6] 1188.34:2077.72:3201.12 1265.76:2213.07:3409.65 +IOPATH B[1] O[7] 1216.9:2127.64:3278.03 1289.83:2255.15:3474.48 +IOPATH B[1] O[8] 1211.8:2118.73:3264.3 1300.46:2273.75:3503.14 +IOPATH B[1] O[9] 1275.57:2230.22:3436.07 1373.95:2402.23:3701.08 +IOPATH B[1] O[10] 1308.02:2286.96:3523.49 1396.55:2441.74:3761.96 +IOPATH B[1] O[11] 1419.34:2481.59:3823.35 1505.08:2631.49:4054.31 +IOPATH B[1] O[12] 1318.53:2305.33:3551.8 1393.94:2437.18:3754.94 +IOPATH B[1] O[13] 1373.62:2401.66:3700.21 1452.75:2540.01:3913.36 +IOPATH B[1] O[14] 1533.4:2681.01:4130.6 1621.49:2835.03:4367.9 +IOPATH B[1] O[15] 1489.55:2604.36:4012.5 1584.63:2770.58:4268.6 +IOPATH B[2] O[2] 821.738:1436.74:2213.56 896.133:1566.81:2413.97 +IOPATH B[2] O[3] 893.949:1562.99:2408.08 958.458:1675.78:2581.85 +IOPATH B[2] O[4] 1040.71:1819.59:2803.42 1103.09:1928.65:2971.45 +IOPATH B[2] O[5] 1101.76:1926.33:2967.88 1173.9:2052.45:3162.19 +IOPATH B[2] O[6] 1171.2:2047.75:3154.94 1248.62:2183.1:3363.48 +IOPATH B[2] O[7] 1199.76:2097.67:3231.85 1272.68:2225.18:3428.3 +IOPATH B[2] O[8] 1194.66:2088.76:3218.13 1283.32:2243.78:3456.96 +IOPATH B[2] O[9] 1258.43:2200.25:3389.9 1356.81:2372.26:3654.91 +IOPATH B[2] O[10] 1290.88:2256.99:3477.31 1379.41:2411.77:3715.79 +IOPATH B[2] O[11] 1402.2:2451.62:3777.18 1487.93:2601.52:4008.13 +IOPATH B[2] O[12] 1301.39:2275.37:3505.63 1376.8:2407.21:3708.77 +IOPATH B[2] O[13] 1356.48:2371.69:3654.03 1435.61:2510.04:3867.19 +IOPATH B[2] O[14] 1516.26:2651.04:4084.43 1604.35:2805.06:4321.73 +IOPATH B[2] O[15] 1472.41:2574.38:3966.33 1567.49:2740.61:4222.43 +IOPATH B[3] O[3] 788.683:1378.94:2124.52 865.285:1512.88:2330.87 +IOPATH B[3] O[4] 986.205:1724.29:2656.6 1048.58:1833.35:2824.62 +IOPATH B[3] O[5] 1047.26:1831.04:2821.06 1119.39:1957.16:3015.37 +IOPATH B[3] O[6] 1116.7:1952.45:3008.11 1194.11:2087.8:3216.65 +IOPATH B[3] O[7] 1145.25:2002.37:3085.03 1218.18:2129.88:3281.48 +IOPATH B[3] O[8] 1140.16:1993.46:3071.3 1228.82:2148.48:3310.14 +IOPATH B[3] O[9] 1203.92:2104.95:3243.07 1302.3:2276.96:3508.08 +IOPATH B[3] O[10] 1236.37:2161.69:3330.49 1324.9:2316.47:3568.96 +IOPATH B[3] O[11] 1347.69:2356.32:3630.35 1433.43:2506.22:3861.31 +IOPATH B[3] O[12] 1246.88:2180.07:3358.8 1322.29:2311.91:3561.94 +IOPATH B[3] O[13] 1301.97:2276.39:3507.2 1381.1:2414.74:3720.36 +IOPATH B[3] O[14] 1461.75:2555.74:3937.6 1549.84:2709.77:4174.9 +IOPATH B[3] O[15] 1417.91:2479.09:3819.5 1512.98:2645.31:4075.6 +IOPATH B[4] O[4] 837.398:1464.12:2255.75 912.148:1594.81:2457.11 +IOPATH B[4] O[5] 923.077:1613.92:2486.55 995.21:1740.04:2680.86 +IOPATH B[4] O[6] 992.518:1735.33:2673.6 1069.93:1870.68:2882.14 +IOPATH B[4] O[7] 1021.07:1785.25:2750.51 1094:1912.76:2946.97 +IOPATH B[4] O[8] 1103.07:1928.62:2971.4 1191.73:2083.63:3210.22 +IOPATH B[4] O[9] 1166.83:2040.09:3143.15 1265.21:2212.1:3408.16 +IOPATH B[4] O[10] 1199.28:2096.83:3230.57 1287.81:2251.62:3469.04 +IOPATH B[4] O[11] 1310.6:2291.47:3530.44 1396.33:2441.37:3761.39 +IOPATH B[4] O[12] 1209.77:2115.18:3258.83 1285.18:2247.03:3461.97 +IOPATH B[4] O[13] 1264.86:2211.5:3407.24 1343.99:2349.86:3620.4 +IOPATH B[4] O[14] 1424.64:2490.86:3837.63 1512.73:2644.88:4074.94 +IOPATH B[4] O[15] 1380.8:2414.2:3719.53 1475.87:2580.43:3975.64 +IOPATH B[5] O[5] 842.819:1473.6:2270.35 927.87:1622.3:2499.46 +IOPATH B[5] O[6] 937.233:1638.67:2524.68 1014.65:1774.02:2733.21 +IOPATH B[5] O[7] 965.782:1688.59:2601.58 1038.71:1816.09:2798.03 +IOPATH B[5] O[8] 1131.34:1978.06:3047.57 1220:2133.07:3286.39 +IOPATH B[5] O[9] 1195.1:2089.53:3219.32 1293.48:2261.54:3484.33 +IOPATH B[5] O[10] 1227.56:2146.27:3306.74 1316.08:2301.06:3545.21 +IOPATH B[5] O[11] 1338.87:2340.9:3606.61 1424.61:2490.81:3837.56 +IOPATH B[5] O[12] 1238.05:2164.62:3335 1313.46:2296.47:3538.14 +IOPATH B[5] O[13] 1293.14:2260.94:3483.41 1372.27:2399.29:3696.56 +IOPATH B[5] O[14] 1452.91:2540.29:3913.8 1541.01:2694.32:4151.11 +IOPATH B[5] O[15] 1409.07:2463.64:3795.7 1504.15:2629.87:4051.81 +IOPATH B[6] O[6] 859.459:1502.69:2315.18 949.687:1660.44:2558.23 +IOPATH B[6] O[7] 912.864:1596.06:2459.04 985.793:1723.57:2655.49 +IOPATH B[6] O[8] 1096.46:1917.07:2953.6 1185.12:2072.08:3192.43 +IOPATH B[6] O[9] 1160.22:2028.55:3125.36 1258.6:2200.55:3390.37 +IOPATH B[6] O[10] 1192.67:2085.28:3212.77 1281.2:2240.07:3451.25 +IOPATH B[6] O[11] 1303.99:2279.92:3512.64 1389.73:2429.82:3743.6 +IOPATH B[6] O[12] 1203.17:2103.63:3241.04 1278.58:2235.48:3444.18 +IOPATH B[6] O[13] 1258.26:2199.95:3389.44 1337.39:2338.3:3602.6 +IOPATH B[6] O[14] 1418.03:2479.31:3819.84 1506.13:2633.33:4057.14 +IOPATH B[6] O[15] 1374.19:2402.65:3701.74 1469.26:2568.88:3957.84 +IOPATH B[7] O[7] 827.821:1447.37:2229.95 912.727:1595.82:2458.67 +IOPATH B[7] O[8] 1054.42:1843.56:2840.36 1143.08:1998.57:3079.18 +IOPATH B[7] O[9] 1118.18:1955.04:3012.11 1216.56:2127.05:3277.12 +IOPATH B[7] O[10] 1150.63:2011.78:3099.53 1239.16:2166.57:3338 +IOPATH B[7] O[11] 1261.95:2206.41:3399.4 1347.69:2356.32:3630.35 +IOPATH B[7] O[12] 1161.12:2030.13:3127.79 1236.53:2161.97:3330.93 +IOPATH B[7] O[13] 1216.22:2126.45:3276.19 1295.35:2264.8:3489.35 +IOPATH B[7] O[14] 1375.99:2405.8:3706.59 1464.09:2559.82:3943.89 +IOPATH B[7] O[15] 1332.15:2329.15:3588.49 1427.22:2495.37:3844.59 +IOPATH B[8] O[8] 821.833:1436.9:2213.82 906.695:1585.28:2442.42 +IOPATH B[8] O[9] 897.453:1569.12:2417.52 992.314:1734.97:2673.05 +IOPATH B[8] O[10] 926.385:1619.7:2495.46 1014.91:1774.49:2733.93 +IOPATH B[8] O[11] 1037.7:1814.34:2795.33 1123.44:1964.24:3026.28 +IOPATH B[8] O[12] 1051.22:1837.96:2831.73 1126.58:1969.73:3034.74 +IOPATH B[8] O[13] 1106.27:1934.21:2980.02 1185.4:2072.56:3193.17 +IOPATH B[8] O[14] 1266.04:2213.56:3410.41 1354.14:2367.59:3647.72 +IOPATH B[8] O[15] 1222.2:2136.91:3292.31 1317.27:2303.14:3548.42 +IOPATH B[9] O[9] 880.003:1538.61:2370.52 970.44:1696.73:2614.13 +IOPATH B[9] O[10] 923.881:1615.33:2488.71 1004.9:1756.98:2706.96 +IOPATH B[9] O[11] 1029.32:1799.68:2772.75 1106.36:1934.37:2980.27 +IOPATH B[9] O[12] 1076.65:1882.43:2900.24 1152.01:2014.19:3103.25 +IOPATH B[9] O[13] 1131.7:1978.68:3048.53 1210.83:2117.03:3261.68 +IOPATH B[9] O[14] 1291.47:2258.03:3478.92 1379.57:2412.06:3716.23 +IOPATH B[9] O[15] 1247.63:2181.38:3360.82 1342.71:2347.6:3616.93 +IOPATH B[10] O[10] 837.878:1464.96:2257.04 927.429:1621.53:2498.27 +IOPATH B[10] O[11] 962.334:1682.56:2592.29 1048.07:1832.45:2823.24 +IOPATH B[10] O[12] 1041.48:1820.94:2805.5 1116.84:1952.7:3008.5 +IOPATH B[10] O[13] 1096.53:1917.18:2953.78 1175.66:2055.54:3166.94 +IOPATH B[10] O[14] 1256.3:2196.54:3384.18 1344.4:2350.56:3621.49 +IOPATH B[10] O[15] 1212.46:2119.88:3266.08 1307.54:2286.11:3522.18 +IOPATH B[11] O[11] 960.585:1679.5:2587.58 1037.62:1814.19:2795.1 +IOPATH B[11] O[12] 1075.96:1881.23:2898.39 1144.01:2000.2:3081.69 +IOPATH B[11] O[13] 1124.37:1965.87:3028.79 1196.35:2091.72:3222.69 +IOPATH B[11] O[14] 1276.95:2232.64:3439.81 1365.09:2386.74:3677.23 +IOPATH B[11] O[15] 1233.16:2156.06:3321.82 1328.23:2322.29:3577.93 +IOPATH B[12] O[12] 909.205:1589.67:2449.18 977.252:1708.64:2632.48 +IOPATH B[12] O[13] 975.6:1705.75:2628.03 1046.92:1830.44:2820.14 +IOPATH B[12] O[14] 1128.19:1972.54:3039.06 1208.97:2113.78:3256.67 +IOPATH B[12] O[15] 1077.91:1884.64:2903.64 1166.98:2040.36:3143.56 +IOPATH B[13] O[13] 845.998:1479.15:2278.91 923.07:1613.91:2486.53 +IOPATH B[13] O[14] 1015.43:1775.39:2735.33 1103.52:1929.42:2972.63 +IOPATH B[13] O[15] 971.587:1698.74:2617.22 1066.66:1864.96:2873.32 +IOPATH B[14] O[14] 930.8:1627.42:2507.35 1011.58:1768.67:2724.96 +IOPATH B[14] O[15] 898.065:1570.19:2419.17 984.534:1721.37:2652.1 +IOPATH B[15] O[15] 974.055:1703.05:2623.87 1081.47:1890.86:2913.22 +IOPATH C[0] ACCUMCO 694.383:1214.07:1870.5 888.295:1553.11:2392.85 +IOPATH C[0] CO 975.819:1706.13:2628.62 1156.74:2022.46:3115.99 +IOPATH C[0] O[16] 863.771:1510.23:2326.79 979.733:1712.98:2639.16 +IOPATH C[0] O[17] 878.665:1536.27:2366.91 988.662:1728.59:2663.22 +IOPATH C[0] O[18] 943.057:1648.85:2540.37 1066.2:1864.15:2872.08 +IOPATH C[0] O[19] 1030.84:1802.33:2776.82 1124.77:1966.56:3029.86 +IOPATH C[0] O[20] 1124.26:1965.68:3028.5 1208.43:2112.83:3255.21 +IOPATH C[0] O[21] 1092.84:1910.73:2943.83 1174.22:2053.02:3163.07 +IOPATH C[0] O[22] 1174.33:2053.22:3163.37 1275.59:2230.25:3436.12 +IOPATH C[0] O[23] 1203.89:2104.9:3243 1290.88:2256.99:3477.31 +IOPATH C[0] O[24] 1163.23:2033.8:3133.45 1240.63:2169.13:3341.96 +IOPATH C[0] O[25] 1218.75:2130.88:3283.03 1308.71:2288.17:3525.35 +IOPATH C[0] O[26] 1298.84:2270.91:3498.76 1397.31:2443.08:3764.03 +IOPATH C[0] O[27] 1294.4:2263.14:3486.79 1375.26:2404.51:3704.61 +IOPATH C[0] O[28] 1260.37:2203.65:3395.14 1337.84:2339.1:3603.82 +IOPATH C[0] O[29] 1302.24:2276.86:3507.93 1381.17:2414.86:3720.55 +IOPATH C[0] O[30] 1335.18:2334.45:3596.66 1399.09:2446.19:3768.82 +IOPATH C[0] O[31] 1359.97:2377.78:3663.42 1404.28:2455.25:3782.78 +IOPATH C[1] ACCUMCO 784.561:1371.74:2113.42 926.502:1619.91:2495.77 +IOPATH C[1] CO 1066:1863.8:2871.54 1194.95:2089.27:3218.91 +IOPATH C[1] O[17] 904.439:1581.33:2436.34 1009.59:1765.18:2719.59 +IOPATH C[1] O[18] 979.034:1711.75:2637.28 1099.33:1922.08:2961.33 +IOPATH C[1] O[19] 1063.97:1860.25:2866.07 1157.9:2024.49:3119.11 +IOPATH C[1] O[20] 1162.47:2032.48:3131.42 1246.63:2179.63:3358.13 +IOPATH C[1] O[21] 1131.04:1977.53:3046.76 1212.43:2119.83:3265.99 +IOPATH C[1] O[22] 1212.54:2120.02:3266.29 1313.79:2297.05:3539.04 +IOPATH C[1] O[23] 1242.1:2171.71:3345.92 1329.08:2323.79:3580.23 +IOPATH C[1] O[24] 1201.43:2100.6:3236.37 1278.84:2235.94:3444.88 +IOPATH C[1] O[25] 1256.96:2197.68:3385.95 1346.92:2354.97:3628.27 +IOPATH C[1] O[26] 1337.05:2337.71:3601.68 1435.52:2509.89:3866.95 +IOPATH C[1] O[27] 1332.6:2329.94:3589.72 1413.46:2471.32:3807.53 +IOPATH C[1] O[28] 1298.58:2270.45:3498.06 1376.05:2405.9:3706.74 +IOPATH C[1] O[29] 1340.45:2343.66:3610.85 1419.38:2481.66:3823.47 +IOPATH C[1] O[30] 1373.39:2401.25:3699.58 1437.3:2513:3871.74 +IOPATH C[1] O[31] 1398.17:2444.58:3766.34 1442.48:2522.06:3885.7 +IOPATH C[2] ACCUMCO 755.42:1320.79:2034.92 875.662:1531.02:2358.82 +IOPATH C[2] CO 1036.86:1812.85:2793.04 1144.11:2000.38:3081.96 +IOPATH C[2] O[18] 895.226:1565.22:2411.52 1012.06:1769.51:2726.26 +IOPATH C[2] O[19] 994.962:1739.6:2680.19 1082.48:1892.63:2915.95 +IOPATH C[2] O[20] 1111.63:1943.59:2994.47 1195.79:2090.74:3221.18 +IOPATH C[2] O[21] 1080.2:1888.64:2909.8 1161.59:2030.94:3129.04 +IOPATH C[2] O[22] 1161.7:2031.13:3129.34 1262.95:2208.16:3402.09 +IOPATH C[2] O[23] 1191.26:2082.81:3208.97 1278.24:2234.9:3443.28 +IOPATH C[2] O[24] 1150.59:2011.71:3099.42 1228:2147.04:3307.93 +IOPATH C[2] O[25] 1206.12:2108.79:3248.99 1296.08:2266.08:3491.32 +IOPATH C[2] O[26] 1286.21:2248.82:3464.73 1384.68:2420.99:3730 +IOPATH C[2] O[27] 1281.76:2241.05:3452.76 1362.62:2382.42:3670.57 +IOPATH C[2] O[28] 1247.74:2181.56:3361.11 1325.21:2317.01:3569.79 +IOPATH C[2] O[29] 1289.61:2254.77:3473.9 1368.54:2392.77:3686.52 +IOPATH C[2] O[30] 1322.55:2312.36:3562.63 1386.46:2424.1:3734.79 +IOPATH C[2] O[31] 1347.33:2355.69:3629.39 1391.64:2433.17:3748.75 +IOPATH C[3] ACCUMCO 725.011:1267.62:1953.01 853.333:1491.98:2298.67 +IOPATH C[3] CO 1006.45:1759.69:2711.13 1121.78:1961.34:3021.81 +IOPATH C[3] O[19] 934.962:1634.7:2518.56 1027.81:1797.03:2768.66 +IOPATH C[3] O[20] 1089.54:1904.96:2934.95 1173.7:2052.11:3161.67 +IOPATH C[3] O[21] 1058.11:1850.01:2850.29 1139.5:1992.31:3069.53 +IOPATH C[3] O[22] 1139.61:1992.5:3069.82 1240.86:2169.53:3342.58 +IOPATH C[3] O[23] 1169.17:2044.19:3149.46 1256.15:2196.27:3383.76 +IOPATH C[3] O[24] 1128.5:1973.08:3039.9 1205.9:2108.42:3248.41 +IOPATH C[3] O[25] 1184.03:2070.16:3189.48 1273.98:2227.45:3431.8 +IOPATH C[3] O[26] 1264.11:2210.19:3405.21 1362.59:2382.36:3670.48 +IOPATH C[3] O[27] 1259.67:2202.42:3393.25 1340.53:2343.8:3611.06 +IOPATH C[3] O[28] 1225.64:2142.93:3301.59 1303.11:2278.38:3510.27 +IOPATH C[3] O[29] 1267.51:2216.14:3414.38 1346.45:2354.14:3627 +IOPATH C[3] O[30] 1300.45:2273.73:3503.11 1364.37:2385.47:3675.27 +IOPATH C[3] O[31] 1325.24:2317.06:3569.87 1369.55:2394.54:3689.24 +IOPATH C[4] ACCUMCO 731.493:1278.95:1970.47 907.445:1586.59:2444.44 +IOPATH C[4] CO 1012.93:1771.02:2728.59 1175.89:2055.95:3167.57 +IOPATH C[4] O[20] 919.778:1608.15:2477.66 999.201:1747.02:2691.61 +IOPATH C[4] O[21] 900.87:1575.09:2426.73 977.197:1708.54:2632.33 +IOPATH C[4] O[22] 977.307:1708.74:2632.63 1078.56:1885.77:2905.38 +IOPATH C[4] O[23] 1006.87:1760.42:2712.26 1093.85:1912.51:2946.57 +IOPATH C[4] O[24] 1075.54:1880.49:2897.25 1152.94:2015.82:3105.74 +IOPATH C[4] O[25] 1131.06:1977.56:3046.81 1221.02:2134.84:3289.13 +IOPATH C[4] O[26] 1211.15:2117.59:3262.54 1309.62:2289.76:3527.81 +IOPATH C[4] O[27] 1206.71:2109.82:3250.57 1287.56:2251.19:3468.39 +IOPATH C[4] O[28] 1172.66:2050.3:3158.87 1250.13:2185.74:3367.55 +IOPATH C[4] O[29] 1214.53:2123.5:3271.66 1293.46:2261.51:3484.28 +IOPATH C[4] O[30] 1247.47:2181.1:3360.39 1311.38:2292.84:3532.55 +IOPATH C[4] O[31] 1272.26:2224.43:3427.15 1316.57:2301.9:3546.52 +IOPATH C[5] ACCUMCO 742.556:1298.29:2000.27 902.167:1577.36:2430.22 +IOPATH C[5] CO 1023.99:1790.36:2758.39 1170.61:2046.72:3153.35 +IOPATH C[5] O[21] 828.243:1448.11:2231.09 904.631:1581.67:2436.86 +IOPATH C[5] O[22] 920.764:1609.88:2480.32 1018.16:1780.16:2742.68 +IOPATH C[5] O[23] 946.467:1654.82:2549.56 1033.45:1806.9:2783.87 +IOPATH C[5] O[24] 1070.26:1871.26:2883.04 1147.67:2006.59:3091.54 +IOPATH C[5] O[25] 1125.79:1968.34:3032.6 1215.74:2125.62:3274.92 +IOPATH C[5] O[26] 1205.87:2108.36:3248.33 1304.35:2280.54:3513.6 +IOPATH C[5] O[27] 1201.43:2100.6:3236.37 1282.29:2241.97:3454.18 +IOPATH C[5] O[28] 1167.39:2041.08:3144.66 1244.86:2176.52:3353.34 +IOPATH C[5] O[29] 1209.26:2114.28:3257.45 1288.19:2252.29:3470.07 +IOPATH C[5] O[30] 1242.2:2171.88:3346.18 1306.11:2283.62:3518.35 +IOPATH C[5] O[31] 1266.98:2215.21:3412.95 1311.29:2292.68:3532.31 +IOPATH C[6] ACCUMCO 739.581:1293.09:1992.25 878.478:1535.94:2366.41 +IOPATH C[6] CO 1021.02:1785.16:2750.38 1146.93:2005.3:3089.54 +IOPATH C[6] O[22] 864.107:1510.82:2327.7 960.01:1678.49:2586.04 +IOPATH C[6] O[23] 905.681:1583.5:2439.69 987.308:1726.22:2659.57 +IOPATH C[6] O[24] 1046.58:1829.85:2819.22 1123.98:1965.18:3027.72 +IOPATH C[6] O[25] 1102.1:1926.92:2968.79 1192.06:2084.2:3211.11 +IOPATH C[6] O[26] 1182.18:2066.95:3184.52 1280.66:2239.12:3449.79 +IOPATH C[6] O[27] 1177.74:2059.18:3172.55 1258.6:2200.55:3390.37 +IOPATH C[6] O[28] 1143.7:1999.66:3080.85 1221.17:2135.11:3289.53 +IOPATH C[6] O[29] 1185.57:2072.87:3193.64 1264.5:2210.87:3406.26 +IOPATH C[6] O[30] 1218.51:2130.46:3282.37 1282.42:2242.2:3454.53 +IOPATH C[6] O[31] 1243.29:2173.79:3349.13 1287.6:2251.26:3468.5 +IOPATH C[7] ACCUMCO 704.266:1231.35:1897.12 841.781:1471.78:2267.56 +IOPATH C[7] CO 985.702:1723.41:2655.24 1110.23:1941.14:2990.69 +IOPATH C[7] O[23] 830.218:1451.56:2236.41 916.17:1601.84:2467.94 +IOPATH C[7] O[24] 1010.47:1766.72:2721.96 1087.87:1902.04:2930.46 +IOPATH C[7] O[25] 1065.99:1863.79:2871.52 1155.95:2021.07:3113.84 +IOPATH C[7] O[26] 1146.08:2003.82:3087.26 1244.55:2175.99:3352.52 +IOPATH C[7] O[27] 1141.63:1996.05:3075.29 1222.49:2137.42:3293.1 +IOPATH C[7] O[28] 1107.59:1936.52:2983.58 1185.06:2071.97:3192.26 +IOPATH C[7] O[29] 1149.46:2009.73:3096.37 1228.39:2147.73:3308.99 +IOPATH C[7] O[30] 1182.4:2067.32:3185.1 1246.31:2179.07:3357.26 +IOPATH C[7] O[31] 1207.18:2110.66:3251.86 1251.49:2188.13:3371.22 +IOPATH C[8] ACCUMCO 696.632:1218:1876.56 802.67:1403.4:2162.2 +IOPATH C[8] CO 978.068:1710.07:2634.68 1071.12:1872.76:2885.33 +IOPATH C[8] O[24] 820.285:1434.2:2209.65 892.901:1561.16:2405.26 +IOPATH C[8] O[25] 887.494:1551.71:2390.7 973.196:1701.55:2621.56 +IOPATH C[8] O[26] 963.325:1684.29:2594.97 1061.8:1856.46:2860.23 +IOPATH C[8] O[27] 958.883:1676.52:2583 1039.74:1817.9:2800.81 +IOPATH C[8] O[28] 1032.76:1805.69:2782.01 1110.23:1941.13:2990.68 +IOPATH C[8] O[29] 1074.63:1878.89:2894.78 1153.56:2016.89:3107.4 +IOPATH C[8] O[30] 1107.57:1936.48:2983.52 1171.48:2048.23:3155.68 +IOPATH C[8] O[31] 1132.35:1979.81:3050.28 1176.66:2057.29:3169.64 +IOPATH C[9] ACCUMCO 694.821:1214.83:1871.68 813.898:1423.03:2192.44 +IOPATH C[9] CO 976.257:1706.9:2629.8 1082.35:1892.39:2915.58 +IOPATH C[9] O[25] 817.864:1429.96:2203.13 903.739:1580.11:2434.46 +IOPATH C[9] O[26] 909.984:1591.03:2451.28 1004.61:1756.48:2706.19 +IOPATH C[9] O[27] 901.696:1576.54:2428.95 982.554:1717.91:2646.76 +IOPATH C[9] O[28] 1043.99:1825.32:2812.25 1121.45:1960.76:3020.92 +IOPATH C[9] O[29] 1085.85:1898.52:2925.03 1164.78:2036.52:3137.65 +IOPATH C[9] O[30] 1118.79:1956.11:3013.76 1182.7:2067.86:3185.92 +IOPATH C[9] O[31] 1143.58:1999.44:3080.52 1187.89:2076.92:3199.88 +IOPATH C[10] ACCUMCO 707.645:1237.26:1906.23 799.21:1397.35:2152.88 +IOPATH C[10] CO 989.081:1729.32:2664.35 1067.66:1866.71:2876.02 +IOPATH C[10] O[26] 858.133:1500.37:2311.6 951.155:1663.01:2562.18 +IOPATH C[10] O[27] 866.438:1514.89:2333.98 941.102:1645.43:2535.1 +IOPATH C[10] O[28] 1024.66:1791.52:2760.18 1102.12:1926.96:2968.85 +IOPATH C[10] O[29] 1066.52:1864.72:2872.96 1145.45:2002.73:3085.58 +IOPATH C[10] O[30] 1099.46:1922.32:2961.69 1163.37:2034.06:3133.85 +IOPATH C[10] O[31] 1124.25:1965.65:3028.45 1168.56:2043.12:3147.81 +IOPATH C[11] ACCUMCO 676.195:1182.27:1821.51 771.905:1349.61:2079.33 +IOPATH C[11] CO 957.631:1674.33:2579.63 1040.35:1818.97:2802.46 +IOPATH C[11] O[27] 787.641:1377.12:2121.72 867.428:1516.62:2336.64 +IOPATH C[11] O[28] 981.792:1716.58:2644.71 1059.26:1852.02:2853.38 +IOPATH C[11] O[29] 1023.66:1789.78:2757.49 1102.59:1927.78:2970.11 +IOPATH C[11] O[30] 1056.6:1847.37:2846.22 1120.51:1959.11:3018.38 +IOPATH C[11] O[31] 1081.38:1890.7:2912.98 1125.69:1968.17:3032.34 +IOPATH C[12] ACCUMCO 614.544:1074.48:1655.43 758.179:1325.61:2042.35 +IOPATH C[12] CO 895.981:1566.54:2413.56 1026.63:1794.97:2765.49 +IOPATH C[12] O[28] 796.509:1392.63:2145.6 871.754:1524.18:2348.29 +IOPATH C[12] O[29] 849.99:1486.13:2289.67 927.298:1621.3:2497.92 +IOPATH C[12] O[30] 881.307:1540.89:2374.03 945.218:1652.63:2546.19 +IOPATH C[12] O[31] 906.09:1584.22:2440.79 950.401:1661.69:2560.15 +IOPATH C[13] ACCUMCO 658.902:1152.03:1774.92 797.637:1394.6:2148.64 +IOPATH C[13] CO 940.338:1644.1:2533.04 1066.08:1863.96:2871.78 +IOPATH C[13] O[29] 815.835:1426.42:2197.66 887.57:1551.84:2390.9 +IOPATH C[13] O[30] 860.084:1503.78:2316.86 917.664:1604.46:2471.97 +IOPATH C[13] O[31] 878.536:1536.04:2366.56 923.86:1615.29:2488.66 +IOPATH C[14] ACCUMCO 632.197:1105.34:1702.99 751.917:1314.66:2025.48 +IOPATH C[14] CO 913.634:1597.41:2461.11 1020.37:1784.02:2748.62 +IOPATH C[14] O[30] 782.093:1367.42:2106.77 839.3:1467.44:2260.87 +IOPATH C[14] O[31] 822.005:1437.2:2214.28 863.408:1509.59:2325.81 +IOPATH C[15] ACCUMCO 603.024:1054.33:1624.4 724.25:1266.29:1950.95 +IOPATH C[15] CO 884.46:1546.4:2382.52 992.698:1735.65:2674.09 +IOPATH C[15] O[31] 743.801:1300.47:2003.62 789.335:1380.08:2126.28 +IOPATH D[0] O[0] 754.727:1319.57:2033.05 812.559:1420.69:2188.84 +IOPATH D[0] O[1] 749.681:1310.75:2019.46 803.403:1404.68:2164.17 +IOPATH D[0] O[2] 812.285:1420.21:2188.1 873.9:1527.94:2354.08 +IOPATH D[0] O[3] 859.663:1503.05:2315.73 924.171:1615.83:2489.49 +IOPATH D[0] O[4] 985.158:1722.46:2653.78 1047.53:1831.52:2821.8 +IOPATH D[0] O[5] 1046.21:1829.21:2818.24 1118.34:1955.33:3012.55 +IOPATH D[0] O[6] 1115.65:1950.62:3005.3 1193.07:2085.97:3213.83 +IOPATH D[0] O[7] 1144.2:2000.54:3082.21 1217.13:2128.05:3278.66 +IOPATH D[0] O[8] 1139.11:1991.63:3068.48 1227.77:2146.65:3307.32 +IOPATH D[0] O[9] 1202.87:2103.12:3240.25 1301.25:2275.13:3505.26 +IOPATH D[0] O[10] 1235.33:2159.86:3327.67 1323.85:2314.64:3566.14 +IOPATH D[0] O[11] 1346.65:2354.49:3627.54 1432.38:2504.39:3858.49 +IOPATH D[0] O[12] 1245.84:2178.24:3355.98 1321.25:2310.08:3559.12 +IOPATH D[0] O[13] 1300.93:2274.56:3504.39 1380.06:2412.91:3717.54 +IOPATH D[0] O[14] 1460.7:2553.91:3934.78 1548.8:2707.94:4172.09 +IOPATH D[0] O[15] 1416.86:2477.26:3816.68 1511.93:2643.48:4072.79 +IOPATH D[1] O[1] 775.68:1356.21:2089.49 824.695:1441.91:2221.53 +IOPATH D[1] O[2] 849.232:1484.81:2287.63 907.271:1586.28:2443.97 +IOPATH D[1] O[3] 893.033:1561.39:2405.62 957.542:1674.18:2579.39 +IOPATH D[1] O[4] 1017.64:1779.26:2741.28 1080.02:1888.31:2909.3 +IOPATH D[1] O[5] 1078.69:1886:2905.74 1150.83:2012.12:3100.05 +IOPATH D[1] O[6] 1148.13:2007.41:3092.8 1225.55:2142.77:3301.33 +IOPATH D[1] O[7] 1176.69:2057.33:3169.71 1249.61:2184.84:3366.16 +IOPATH D[1] O[8] 1171.59:2048.42:3155.98 1260.25:2203.44:3394.82 +IOPATH D[1] O[9] 1235.36:2159.91:3327.75 1333.74:2331.92:3592.76 +IOPATH D[1] O[10] 1267.81:2216.65:3415.17 1356.34:2371.44:3653.64 +IOPATH D[1] O[11] 1379.13:2411.28:3715.04 1464.86:2561.19:3945.99 +IOPATH D[1] O[12] 1278.32:2235.03:3443.48 1353.73:2366.88:3646.62 +IOPATH D[1] O[13] 1333.41:2331.35:3591.89 1412.54:2469.7:3805.05 +IOPATH D[1] O[14] 1493.19:2610.7:4022.28 1581.28:2764.73:4259.59 +IOPATH D[1] O[15] 1449.34:2534.05:3904.18 1544.42:2700.28:4160.29 +IOPATH D[2] O[2] 775.993:1356.76:2090.34 832.998:1456.42:2243.9 +IOPATH D[2] O[3] 835.527:1460.85:2250.71 895.315:1565.38:2411.76 +IOPATH D[2] O[4] 977.579:1709.21:2633.36 1039.95:1818.27:2801.39 +IOPATH D[2] O[5] 1038.63:1815.95:2797.82 1110.76:1942.08:2992.13 +IOPATH D[2] O[6] 1108.07:1937.37:2984.88 1185.49:2072.72:3193.42 +IOPATH D[2] O[7] 1136.62:1987.29:3061.79 1209.55:2114.8:3258.24 +IOPATH D[2] O[8] 1131.53:1978.38:3048.07 1220.19:2133.4:3286.9 +IOPATH D[2] O[9] 1195.3:2089.87:3219.84 1293.68:2261.88:3484.85 +IOPATH D[2] O[10] 1227.75:2146.61:3307.25 1316.28:2301.39:3545.73 +IOPATH D[2] O[11] 1339.07:2341.24:3607.12 1424.8:2491.14:3838.08 +IOPATH D[2] O[12] 1238.26:2164.99:3335.57 1313.67:2296.83:3538.7 +IOPATH D[2] O[13] 1293.35:2261.31:3483.97 1372.48:2399.66:3697.13 +IOPATH D[2] O[14] 1453.12:2540.66:3914.37 1541.22:2694.68:4151.67 +IOPATH D[2] O[15] 1409.28:2464.01:3796.27 1504.36:2630.23:4052.37 +IOPATH D[3] O[3] 766.719:1340.54:2065.36 831.284:1453.43:2239.28 +IOPATH D[3] O[4] 952.229:1664.89:2565.08 1014.6:1773.95:2733.1 +IOPATH D[3] O[5] 1013.28:1771.63:2729.53 1085.41:1897.75:2923.85 +IOPATH D[3] O[6] 1082.72:1893.05:2916.59 1160.14:2028.4:3125.13 +IOPATH D[3] O[7] 1111.27:1942.97:2993.5 1184.2:2070.47:3189.96 +IOPATH D[3] O[8] 1106.18:1934.06:2979.78 1194.84:2089.07:3218.61 +IOPATH D[3] O[9] 1169.94:2045.54:3151.55 1268.32:2217.55:3416.56 +IOPATH D[3] O[10] 1202.4:2102.28:3238.96 1290.92:2257.07:3477.44 +IOPATH D[3] O[11] 1313.72:2296.92:3538.83 1399.45:2446.82:3769.79 +IOPATH D[3] O[12] 1212.91:2120.66:3267.28 1288.32:2252.51:3470.41 +IOPATH D[3] O[13] 1268:2216.98:3415.68 1347.13:2355.34:3628.84 +IOPATH D[3] O[14] 1427.77:2496.34:3846.08 1515.87:2650.36:4083.38 +IOPATH D[3] O[15] 1383.93:2419.68:3727.98 1479:2585.91:3984.08 +IOPATH D[4] O[4] 783.47:1369.83:2110.48 843.952:1475.58:2273.4 +IOPATH D[4] O[5] 855.703:1496.12:2305.06 927.006:1620.79:2497.13 +IOPATH D[4] O[6] 924.313:1616.08:2489.88 1001.73:1751.43:2698.41 +IOPATH D[4] O[7] 952.865:1666:2566.79 1025.79:1793.51:2763.24 +IOPATH D[4] O[8] 1052.56:1840.3:2835.33 1141.21:1995.31:3074.16 +IOPATH D[4] O[9] 1116.32:1951.78:3007.09 1214.7:2123.79:3272.1 +IOPATH D[4] O[10] 1148.77:2008.52:3094.5 1237.3:2163.3:3332.98 +IOPATH D[4] O[11] 1260.09:2203.15:3394.37 1345.82:2353.05:3625.32 +IOPATH D[4] O[12] 1159.26:2026.87:3122.77 1234.67:2158.71:3325.91 +IOPATH D[4] O[13] 1214.35:2123.19:3271.17 1293.48:2261.54:3484.33 +IOPATH D[4] O[14] 1374.13:2402.54:3701.57 1462.22:2556.57:3938.87 +IOPATH D[4] O[15] 1330.29:2325.89:3583.47 1425.36:2492.12:3839.57 +IOPATH D[5] O[5] 808.682:1413.91:2178.4 875.497:1530.73:2358.38 +IOPATH D[5] O[6] 889.349:1554.95:2395.69 962.268:1682.44:2592.12 +IOPATH D[5] O[7] 913.197:1596.64:2459.93 986.331:1724.51:2656.94 +IOPATH D[5] O[8] 1078.95:1886.45:2906.44 1167.61:2041.47:3145.26 +IOPATH D[5] O[9] 1142.71:1997.93:3078.19 1241.09:2169.94:3343.21 +IOPATH D[5] O[10] 1175.16:2054.67:3165.61 1263.69:2209.46:3404.09 +IOPATH D[5] O[11] 1286.48:2249.31:3465.48 1372.22:2399.21:3696.43 +IOPATH D[5] O[12] 1185.66:2073.02:3193.88 1261.07:2204.87:3397.01 +IOPATH D[5] O[13] 1240.75:2169.34:3342.28 1319.88:2307.69:3555.44 +IOPATH D[5] O[14] 1400.52:2448.69:3772.67 1488.62:2602.72:4009.98 +IOPATH D[5] O[15] 1356.68:2372.04:3654.57 1451.75:2538.27:3910.68 +IOPATH D[6] O[6] 807.129:1411.19:2174.21 879.601:1537.91:2369.43 +IOPATH D[6] O[7] 848.752:1483.97:2286.33 915.702:1601.03:2466.68 +IOPATH D[6] O[8] 1026.38:1794.53:2764.81 1115.04:1949.54:3003.64 +IOPATH D[6] O[9] 1090.14:1906.01:2936.57 1188.52:2078.02:3201.58 +IOPATH D[6] O[10] 1122.59:1962.75:3023.99 1211.12:2117.53:3262.46 +IOPATH D[6] O[11] 1233.91:2157.38:3323.86 1319.65:2307.29:3554.81 +IOPATH D[6] O[12] 1133.08:1981.1:3052.25 1208.49:2112.94:3255.39 +IOPATH D[6] O[13] 1188.17:2077.42:3200.66 1267.3:2215.77:3413.81 +IOPATH D[6] O[14] 1347.95:2356.77:3631.05 1436.04:2510.8:3868.36 +IOPATH D[6] O[15] 1304.11:2280.12:3512.95 1399.18:2446.35:3769.06 +IOPATH D[7] O[7] 799.475:1397.81:2153.59 871.513:1523.76:2347.65 +IOPATH D[7] O[8] 1016.04:1776.46:2736.97 1101.89:1926.57:2968.24 +IOPATH D[7] O[9] 1077:1883.03:2901.17 1175.38:2055.04:3166.18 +IOPATH D[7] O[10] 1109.45:1939.77:2988.58 1197.98:2094.56:3227.06 +IOPATH D[7] O[11] 1220.77:2134.41:3288.45 1306.5:2284.31:3519.41 +IOPATH D[7] O[12] 1119.94:1958.12:3016.85 1195.35:2089.96:3219.98 +IOPATH D[7] O[13] 1175.03:2054.44:3165.25 1254.16:2192.79:3378.41 +IOPATH D[7] O[14] 1334.81:2333.79:3595.65 1422.9:2487.82:3832.95 +IOPATH D[7] O[15] 1290.96:2257.14:3477.55 1386.04:2423.36:3733.65 +IOPATH D[8] O[8] 832.014:1454.7:2241.25 918.145:1605.3:2473.26 +IOPATH D[8] O[9] 907.685:1587.01:2445.08 1003.76:1754.98:2703.88 +IOPATH D[8] O[10] 937.827:1639.71:2526.28 1026.36:1794.49:2764.76 +IOPATH D[8] O[11] 1049.15:1834.34:2826.15 1134.88:1984.24:3057.1 +IOPATH D[8] O[12] 1045.03:1827.14:2815.06 1120.39:1958.91:3018.06 +IOPATH D[8] O[13] 1100.08:1923.39:2963.34 1179.21:2061.74:3176.5 +IOPATH D[8] O[14] 1259.85:2202.74:3393.74 1347.95:2356.77:3631.04 +IOPATH D[8] O[15] 1216.01:2126.09:3275.64 1311.08:2292.31:3531.74 +IOPATH D[9] O[9] 850.479:1486.99:2290.98 944.679:1651.69:2544.74 +IOPATH D[9] O[10] 894.403:1563.78:2409.31 979.376:1712.35:2638.2 +IOPATH D[9] O[11] 1002.17:1752.2:2699.59 1087.9:1902.1:2930.55 +IOPATH D[9] O[12] 1061.99:1856.8:2860.74 1137.35:1988.56:3063.75 +IOPATH D[9] O[13] 1117.04:1953.04:3009.03 1196.17:2091.39:3222.19 +IOPATH D[9] O[14] 1276.81:2232.39:3439.42 1364.91:2386.42:3676.73 +IOPATH D[9] O[15] 1232.97:2155.74:3321.32 1328.04:2321.97:3577.43 +IOPATH D[10] O[10] 824.38:1441.36:2220.68 907.245:1586.24:2443.9 +IOPATH D[10] O[11] 947.69:1656.95:2552.85 1027.88:1797.15:2768.85 +IOPATH D[10] O[12] 1021.3:1785.66:2751.14 1096.66:1917.42:2954.15 +IOPATH D[10] O[13] 1076.35:1881.9:2899.43 1155.48:2020.25:3112.58 +IOPATH D[10] O[14] 1236.12:2161.26:3329.82 1324.22:2315.28:3567.13 +IOPATH D[10] O[15] 1192.28:2084.6:3211.72 1287.36:2250.83:3467.82 +IOPATH D[11] O[11] 882.228:1542.5:2376.51 967.006:1690.73:2604.88 +IOPATH D[11] O[12] 999.082:1746.81:2691.29 1074.44:1878.56:2894.27 +IOPATH D[11] O[13] 1054.12:1843.04:2839.56 1133.25:1981.39:3052.71 +IOPATH D[11] O[14] 1213.9:2122.4:3269.95 1301.99:2276.42:3507.25 +IOPATH D[11] O[15] 1170.06:2045.74:3151.85 1265.13:2211.97:3407.95 +IOPATH D[12] O[12] 822.224:1437.59:2214.87 892.643:1560.71:2404.57 +IOPATH D[12] O[13] 888.669:1553.76:2393.86 963.462:1684.53:2595.33 +IOPATH D[12] O[14] 1044.11:1825.53:2812.57 1132.2:1979.55:3049.88 +IOPATH D[12] O[15] 1000.26:1748.87:2694.47 1095.34:1915.1:2950.58 +IOPATH D[13] O[13] 831.452:1453.72:2239.73 903.548:1579.78:2433.94 +IOPATH D[13] O[14] 1000.9:1749.98:2696.18 1083.98:1895.25:2919.99 +IOPATH D[13] O[15] 952.045:1664.57:2564.58 1047.12:1830.8:2820.69 +IOPATH D[14] O[14] 927.009:1620.79:2497.14 1008.19:1762.73:2715.82 +IOPATH D[14] O[15] 894.315:1563.63:2409.07 983.334:1719.27:2648.87 +IOPATH D[15] O[15] 843.864:1475.42:2273.17 937.708:1639.5:2525.96 +IOPATH OLOADBOT O[0] 457.31:799.566:1231.88 491.745:859.773:1324.64 +IOPATH OLOADBOT O[1] 422.247:738.261:1137.43 485.984:849.701:1309.12 +IOPATH OLOADBOT O[2] 456.682:798.468:1230.19 505.371:883.597:1361.35 +IOPATH OLOADBOT O[3] 372.553:651.376:1003.57 418.2:731.187:1126.53 +IOPATH OLOADBOT O[4] 456.836:798.737:1230.61 489.028:855.023:1317.32 +IOPATH OLOADBOT O[5] 389.099:680.306:1048.14 441.251:771.489:1188.62 +IOPATH OLOADBOT O[6] 483.863:845.992:1303.41 549.125:960.097:1479.21 +IOPATH OLOADBOT O[7] 382.203:668.248:1029.56 446.911:781.385:1203.87 +IOPATH OLOADBOT O[8] 476.781:833.609:1284.33 574.373:1004.24:1547.22 +IOPATH OLOADBOT O[9] 428.238:748.737:1153.57 506.159:884.975:1363.47 +IOPATH OLOADBOT O[10] 493.88:863.507:1330.39 550.931:963.255:1484.08 +IOPATH OLOADBOT O[11] 538.75:941.956:1451.26 633.632:1107.85:1706.85 +IOPATH OLOADBOT O[12] 457.091:799.184:1231.29 543.135:949.624:1463.08 +IOPATH OLOADBOT O[13] 459.414:803.246:1237.55 549.407:960.591:1479.97 +IOPATH OLOADBOT O[14] 491.292:858.981:1323.42 572.181:1000.41:1541.32 +IOPATH OLOADBOT O[15] 504.332:881.78:1358.55 585.005:1022.83:1575.86 +IOPATH OLOADTOP O[16] 552.344:965.725:1487.88 639.911:1118.83:1723.77 +IOPATH OLOADTOP O[17] 521.919:912.53:1405.92 644.889:1127.53:1737.18 +IOPATH OLOADTOP O[18] 472.37:825.897:1272.45 587.869:1027.84:1583.58 +IOPATH OLOADTOP O[19] 528.67:924.333:1424.11 599.871:1048.82:1615.91 +IOPATH OLOADTOP O[20] 491.099:858.643:1322.9 552.264:965.584:1487.66 +IOPATH OLOADTOP O[21] 465.79:814.393:1254.73 560.386:979.786:1509.55 +IOPATH OLOADTOP O[22] 524.637:917.282:1413.25 590.048:1031.65:1589.45 +IOPATH OLOADTOP O[23] 483.343:845.083:1302.01 584.622:1022.16:1574.83 +IOPATH OLOADTOP O[24] 401.142:701.361:1080.58 473.368:827.642:1275.14 +IOPATH OLOADTOP O[25] 506.36:885.326:1364.01 581.074:1015.96:1565.27 +IOPATH OLOADTOP O[26] 509.103:890.122:1371.4 621.2:1086.11:1673.36 +IOPATH OLOADTOP O[27] 490.474:857.55:1321.22 536.179:937.463:1444.34 +IOPATH OLOADTOP O[28] 453.975:793.736:1222.9 545.659:954.038:1469.88 +IOPATH OLOADTOP O[29] 402.56:703.841:1084.4 457.27:799.497:1231.78 +IOPATH OLOADTOP O[30] 464.718:812.518:1251.84 515.495:901.299:1388.62 +IOPATH OLOADTOP O[31] 461.349:806.629:1242.76 508.18:888.508:1368.91 CELL SB_MAC16_ADS_U_32P32_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.755:59.0176:90.9277 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:CI posedge:CLK -81.886:-143.17:-220.581 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.4518:49.7454:76.6422 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6522:44.8505:69.1007 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:CI posedge:CLK -78.4718:-137.201:-211.384 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0836:141.768:218.42 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -2959,1656 +2959,1656 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 -SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 -SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 -IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 -IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 -IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 -IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 -IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 961.304:1680.76:2589.52 +SETUP negedge:ADDSUBTOP posedge:CLK 681.67:1191.84:1836.25 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7973:-46.8527:-72.1854 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8006:-18.8839:-29.0943 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:CI posedge:CLK 629.059:1099.85:1694.53 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.975:295.439:455.179 +SETUP negedge:OLOADTOP posedge:CLK 136.836:239.246:368.603 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 982.049:1717.03:2645.4 +SETUP posedge:ADDSUBTOP posedge:CLK 700.297:1224.41:1886.43 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.075:-31.6025:-48.6896 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7245:-20.4992:-31.5829 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:CI posedge:CLK 589.453:1030.61:1587.85 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.594:254.558:392.195 +IOPATH ADDSUBBOT ACCUMCO 1114.72:1948.99:3002.79 1231.03:2152.35:3316.1 +IOPATH ADDSUBBOT CO 1396.16:2441.06:3760.91 1499.48:2621.71:4039.23 +IOPATH ADDSUBTOP ACCUMCO 790.563:1382.23:2129.59 931.954:1629.44:2510.46 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH CI ACCUMCO 599.791:1048.68:1615.69 630.946:1103.15:1699.62 +IOPATH CI CO 881.228:1540.75:2373.82 899.394:1572.51:2422.75 +IOPATH posedge:CLK ACCUMCO 1417.31:2478.04:3817.89 1538.29:2689.57:4143.79 +IOPATH posedge:CLK CO 1698.75:2970.11:4576.01 1806.74:3158.93:4866.92 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.569:988.849:1523.51 599.667:1048.47:1615.36 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 621.836:1087.23:1675.08 672.218:1175.32:1810.79 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.618:1188.25:1830.73 736.514:1287.73:1983.99 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.383:1194.84:1840.87 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.5:1065.66:1641.85 673.926:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 718.342:1255.96:1935.04 697.215:1219.02:1878.13 CELL SB_MAC16_ADS_U_32P32_BYPASS -IOPATH A[0] ACCUMCO 1473.75:1473.75:1473.75 1927.31:1927.31:1927.31 -IOPATH A[0] CO 2046.16:2046.16:2046.16 2473.3:2473.3:2473.3 -IOPATH A[0] O[16] 1873.55:1873.55:1873.55 2109.41:2109.41:2109.41 -IOPATH A[0] O[17] 1998.32:1998.32:1998.32 2206.56:2206.56:2206.56 -IOPATH A[0] O[18] 2113.84:2113.84:2113.84 2348.8:2348.8:2348.8 -IOPATH A[0] O[19] 2281.86:2281.86:2281.86 2460.91:2460.91:2460.91 -IOPATH A[0] O[20] 2420.18:2420.18:2420.18 2591.34:2591.34:2591.34 -IOPATH A[0] O[21] 2356.24:2356.24:2356.24 2521.77:2521.77:2521.77 -IOPATH A[0] O[22] 2522:2522:2522 2727.94:2727.94:2727.94 -IOPATH A[0] O[23] 2582.12:2582.12:2582.12 2759.03:2759.03:2759.03 -IOPATH A[0] O[24] 2496.17:2496.17:2496.17 2653.6:2653.6:2653.6 -IOPATH A[0] O[25] 2609.16:2609.16:2609.16 2792.12:2792.12:2792.12 -IOPATH A[0] O[26] 2772.04:2772.04:2772.04 2972.33:2972.33:2972.33 -IOPATH A[0] O[27] 2763.01:2763.01:2763.01 2927.46:2927.46:2927.46 -IOPATH A[0] O[28] 2693.81:2693.81:2693.81 2851.37:2851.37:2851.37 -IOPATH A[0] O[29] 2778.97:2778.97:2778.97 2939.5:2939.5:2939.5 -IOPATH A[0] O[30] 2845.96:2845.96:2845.96 2975.95:2975.95:2975.95 -IOPATH A[0] O[31] 2896.37:2896.37:2896.37 2986.49:2986.49:2986.49 -IOPATH A[1] ACCUMCO 1711.73:1711.73:1711.73 1984.9:1984.9:1984.9 -IOPATH A[1] CO 2284.13:2284.13:2284.13 2530.89:2530.89:2530.89 -IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 -IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 -IOPATH A[1] O[19] 2268.65:2268.65:2268.65 2444.42:2444.42:2444.42 -IOPATH A[1] O[20] 2477.77:2477.77:2477.77 2648.93:2648.93:2648.93 -IOPATH A[1] O[21] 2413.84:2413.84:2413.84 2579.37:2579.37:2579.37 -IOPATH A[1] O[22] 2579.59:2579.59:2579.59 2785.53:2785.53:2785.53 -IOPATH A[1] O[23] 2639.72:2639.72:2639.72 2816.63:2816.63:2816.63 -IOPATH A[1] O[24] 2553.77:2553.77:2553.77 2711.2:2711.2:2711.2 -IOPATH A[1] O[25] 2666.75:2666.75:2666.75 2849.71:2849.71:2849.71 -IOPATH A[1] O[26] 2829.64:2829.64:2829.64 3029.92:3029.92:3029.92 -IOPATH A[1] O[27] 2820.6:2820.6:2820.6 2985.06:2985.06:2985.06 -IOPATH A[1] O[28] 2751.4:2751.4:2751.4 2908.96:2908.96:2908.96 -IOPATH A[1] O[29] 2836.56:2836.56:2836.56 2997.09:2997.09:2997.09 -IOPATH A[1] O[30] 2903.55:2903.55:2903.55 3033.54:3033.54:3033.54 -IOPATH A[1] O[31] 2953.96:2953.96:2953.96 3044.08:3044.08:3044.08 -IOPATH A[2] ACCUMCO 1654.73:1654.73:1654.73 1866.47:1866.47:1866.47 -IOPATH A[2] CO 2227.13:2227.13:2227.13 2412.46:2412.46:2412.46 -IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 -IOPATH A[2] O[19] 2135.47:2135.47:2135.47 2311.25:2311.25:2311.25 -IOPATH A[2] O[20] 2371.31:2371.31:2371.31 2530.5:2530.5:2530.5 -IOPATH A[2] O[21] 2295.28:2295.28:2295.28 2460.93:2460.93:2460.93 -IOPATH A[2] O[22] 2461.15:2461.15:2461.15 2667.09:2667.09:2667.09 -IOPATH A[2] O[23] 2521.28:2521.28:2521.28 2698.19:2698.19:2698.19 -IOPATH A[2] O[24] 2435.33:2435.33:2435.33 2592.76:2592.76:2592.76 -IOPATH A[2] O[25] 2548.32:2548.32:2548.32 2731.28:2731.28:2731.28 -IOPATH A[2] O[26] 2711.2:2711.2:2711.2 2911.49:2911.49:2911.49 -IOPATH A[2] O[27] 2702.17:2702.17:2702.17 2866.62:2866.62:2866.62 -IOPATH A[2] O[28] 2632.97:2632.97:2632.97 2790.52:2790.52:2790.52 -IOPATH A[2] O[29] 2718.12:2718.12:2718.12 2878.66:2878.66:2878.66 -IOPATH A[2] O[30] 2785.12:2785.12:2785.12 2915.11:2915.11:2915.11 -IOPATH A[2] O[31] 2835.53:2835.53:2835.53 2925.65:2925.65:2925.65 -IOPATH A[3] ACCUMCO 1565.09:1565.09:1565.09 1792.96:1792.96:1792.96 -IOPATH A[3] CO 2137.49:2137.49:2137.49 2338.95:2338.95:2338.95 -IOPATH A[3] O[19] 1985.7:1985.7:1985.7 2161.47:2161.47:2161.47 -IOPATH A[3] O[20] 2286.43:2286.43:2286.43 2457.6:2457.6:2457.6 -IOPATH A[3] O[21] 2222.5:2222.5:2222.5 2388.03:2388.03:2388.03 -IOPATH A[3] O[22] 2388.25:2388.25:2388.25 2594.19:2594.19:2594.19 -IOPATH A[3] O[23] 2448.38:2448.38:2448.38 2625.29:2625.29:2625.29 -IOPATH A[3] O[24] 2362.42:2362.42:2362.42 2519.85:2519.85:2519.85 -IOPATH A[3] O[25] 2475.41:2475.41:2475.41 2658.37:2658.37:2658.37 -IOPATH A[3] O[26] 2638.29:2638.29:2638.29 2838.58:2838.58:2838.58 -IOPATH A[3] O[27] 2629.26:2629.26:2629.26 2793.71:2793.71:2793.71 -IOPATH A[3] O[28] 2560.06:2560.06:2560.06 2717.62:2717.62:2717.62 -IOPATH A[3] O[29] 2645.22:2645.22:2645.22 2805.75:2805.75:2805.75 -IOPATH A[3] O[30] 2712.21:2712.21:2712.21 2842.2:2842.2:2842.2 -IOPATH A[3] O[31] 2762.62:2762.62:2762.62 2852.74:2852.74:2852.74 -IOPATH A[4] ACCUMCO 1596.39:1596.39:1596.39 1990.71:1990.71:1990.71 -IOPATH A[4] CO 2168.8:2168.8:2168.8 2536.7:2536.7:2536.7 -IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 -IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 -IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 -IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 -IOPATH A[4] O[24] 2329.39:2329.39:2329.39 2486.82:2486.82:2486.82 -IOPATH A[4] O[25] 2442.37:2442.37:2442.37 2625.33:2625.33:2625.33 -IOPATH A[4] O[26] 2605.26:2605.26:2605.26 2805.54:2805.54:2805.54 -IOPATH A[4] O[27] 2596.22:2596.22:2596.22 2760.67:2760.67:2760.67 -IOPATH A[4] O[28] 2526.98:2526.98:2526.98 2684.54:2684.54:2684.54 -IOPATH A[4] O[29] 2612.14:2612.14:2612.14 2772.68:2772.68:2772.68 -IOPATH A[4] O[30] 2679.14:2679.14:2679.14 2809.12:2809.12:2809.12 -IOPATH A[4] O[31] 2729.54:2729.54:2729.54 2819.66:2819.66:2819.66 -IOPATH A[5] ACCUMCO 1532.78:1532.78:1532.78 1826.74:1826.74:1826.74 -IOPATH A[5] CO 2105.19:2105.19:2105.19 2372.72:2372.72:2372.72 -IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 -IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 -IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 -IOPATH A[5] O[24] 2165.43:2165.43:2165.43 2322.85:2322.85:2322.85 -IOPATH A[5] O[25] 2278.4:2278.4:2278.4 2461.36:2461.36:2461.36 -IOPATH A[5] O[26] 2441.28:2441.28:2441.28 2641.57:2641.57:2641.57 -IOPATH A[5] O[27] 2432.25:2432.25:2432.25 2596.7:2596.7:2596.7 -IOPATH A[5] O[28] 2363.01:2363.01:2363.01 2520.57:2520.57:2520.57 -IOPATH A[5] O[29] 2448.17:2448.17:2448.17 2608.71:2608.71:2608.71 -IOPATH A[5] O[30] 2515.17:2515.17:2515.17 2645.15:2645.15:2645.15 -IOPATH A[5] O[31] 2565.57:2565.57:2565.57 2655.7:2655.7:2655.7 -IOPATH A[6] ACCUMCO 1676.35:1676.35:1676.35 1928.47:1928.47:1928.47 -IOPATH A[6] CO 2248.75:2248.75:2248.75 2474.46:2474.46:2474.46 -IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 -IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 -IOPATH A[6] O[24] 2278.36:2278.36:2278.36 2424.58:2424.58:2424.58 -IOPATH A[6] O[25] 2380.14:2380.14:2380.14 2563.1:2563.1:2563.1 -IOPATH A[6] O[26] 2543.02:2543.02:2543.02 2743.31:2743.31:2743.31 -IOPATH A[6] O[27] 2533.99:2533.99:2533.99 2698.44:2698.44:2698.44 -IOPATH A[6] O[28] 2464.75:2464.75:2464.75 2622.31:2622.31:2622.31 -IOPATH A[6] O[29] 2549.91:2549.91:2549.91 2710.44:2710.44:2710.44 -IOPATH A[6] O[30] 2616.9:2616.9:2616.9 2746.89:2746.89:2746.89 -IOPATH A[6] O[31] 2667.31:2667.31:2667.31 2757.43:2757.43:2757.43 -IOPATH A[7] ACCUMCO 1609.07:1609.07:1609.07 1852.65:1852.65:1852.65 -IOPATH A[7] CO 2181.47:2181.47:2181.47 2398.64:2398.64:2398.64 -IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.48:2022.48:2022.48 -IOPATH A[7] O[24] 2221.66:2221.66:2221.66 2364.02:2364.02:2364.02 -IOPATH A[7] O[25] 2320.58:2320.58:2320.58 2488.48:2488.48:2488.48 -IOPATH A[7] O[26] 2471.36:2471.36:2471.36 2668.69:2668.69:2668.69 -IOPATH A[7] O[27] 2459.37:2459.37:2459.37 2623.82:2623.82:2623.82 -IOPATH A[7] O[28] 2390.13:2390.13:2390.13 2547.68:2547.68:2547.68 -IOPATH A[7] O[29] 2475.28:2475.28:2475.28 2635.82:2635.82:2635.82 -IOPATH A[7] O[30] 2542.28:2542.28:2542.28 2672.27:2672.27:2672.27 -IOPATH A[7] O[31] 2592.69:2592.69:2592.69 2682.81:2682.81:2682.81 -IOPATH A[8] ACCUMCO 1566.32:1566.32:1566.32 1820.86:1820.86:1820.86 -IOPATH A[8] CO 2138.72:2138.72:2138.72 2366.85:2366.85:2366.85 -IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 -IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 -IOPATH A[8] O[26] 2105.23:2105.23:2105.23 2290.23:2290.23:2290.23 -IOPATH A[8] O[27] 2086.3:2086.3:2086.3 2235.19:2235.19:2235.19 -IOPATH A[8] O[28] 2288.83:2288.83:2288.83 2446.38:2446.38:2446.38 -IOPATH A[8] O[29] 2373.98:2373.98:2373.98 2534.52:2534.52:2534.52 -IOPATH A[8] O[30] 2440.98:2440.98:2440.98 2570.97:2570.97:2570.97 -IOPATH A[8] O[31] 2491.39:2491.39:2491.39 2581.51:2581.51:2581.51 -IOPATH A[9] ACCUMCO 1685.82:1685.82:1685.82 1937.96:1937.96:1937.96 -IOPATH A[9] CO 2258.23:2258.23:2258.23 2483.94:2483.94:2483.94 -IOPATH A[9] O[25] 1936.15:1936.15:1936.15 2103.39:2103.39:2103.39 -IOPATH A[9] O[26] 2123.3:2123.3:2123.3 2308.31:2308.31:2308.31 -IOPATH A[9] O[27] 2104.37:2104.37:2104.37 2253.26:2253.26:2253.26 -IOPATH A[9] O[28] 2405.93:2405.93:2405.93 2563.48:2563.48:2563.48 -IOPATH A[9] O[29] 2491.08:2491.08:2491.08 2651.61:2651.61:2651.61 -IOPATH A[9] O[30] 2558.07:2558.07:2558.07 2688.06:2688.06:2688.06 -IOPATH A[9] O[31] 2608.48:2608.48:2608.48 2698.6:2698.6:2698.6 -IOPATH A[10] ACCUMCO 1570.85:1570.85:1570.85 1726.27:1726.27:1726.27 -IOPATH A[10] CO 2143.25:2143.25:2143.25 2272.26:2272.26:2272.26 -IOPATH A[10] O[26] 1876.91:1876.91:1876.91 2061.92:2061.92:2061.92 -IOPATH A[10] O[27] 1893.72:1893.72:1893.72 2042.61:2042.61:2042.61 -IOPATH A[10] O[28] 2184.69:2184.69:2184.69 2342.39:2342.39:2342.39 -IOPATH A[10] O[29] 2269.98:2269.98:2269.98 2430.52:2430.52:2430.52 -IOPATH A[10] O[30] 2336.98:2336.98:2336.98 2466.97:2466.97:2466.97 -IOPATH A[10] O[31] 2387.39:2387.39:2387.39 2477.51:2477.51:2477.51 -IOPATH A[11] ACCUMCO 1426.38:1426.38:1426.38 1589.66:1589.66:1589.66 -IOPATH A[11] CO 1998.78:1998.78:1998.78 2135.65:2135.65:2135.65 -IOPATH A[11] O[27] 1652.87:1652.87:1652.87 1801.77:1801.77:1801.77 -IOPATH A[11] O[28] 2019.2:2019.2:2019.2 2174.11:2174.11:2174.11 -IOPATH A[11] O[29] 2101.7:2101.7:2101.7 2262.24:2262.24:2262.24 -IOPATH A[11] O[30] 2168.7:2168.7:2168.7 2298.69:2298.69:2298.69 -IOPATH A[11] O[31] 2219.11:2219.11:2219.11 2309.23:2309.23:2309.23 -IOPATH A[12] ACCUMCO 1424.4:1424.4:1424.4 1617.79:1617.79:1617.79 -IOPATH A[12] CO 1996.81:1996.81:1996.81 2163.78:2163.78:2163.78 -IOPATH A[12] O[28] 1660.43:1660.43:1660.43 1802.15:1802.15:1802.15 -IOPATH A[12] O[29] 1769.09:1769.09:1769.09 1913.37:1913.37:1913.37 -IOPATH A[12] O[30] 1822.99:1822.99:1822.99 1941.49:1941.49:1941.49 -IOPATH A[12] O[31] 1861.91:1861.91:1861.91 1952.71:1952.71:1952.71 -IOPATH A[13] ACCUMCO 1485.85:1485.85:1485.85 1645.15:1645.15:1645.15 -IOPATH A[13] CO 2058.25:2058.25:2058.25 2191.14:2191.14:2191.14 -IOPATH A[13] O[29] 1693.22:1693.22:1693.22 1837.49:1837.49:1837.49 -IOPATH A[13] O[30] 1783.13:1783.13:1783.13 1899.84:1899.84:1899.84 -IOPATH A[13] O[31] 1828.64:1828.64:1828.64 1912.84:1912.84:1912.84 -IOPATH A[14] ACCUMCO 1549.44:1549.44:1549.44 1630.6:1630.6:1630.6 -IOPATH A[14] CO 2121.84:2121.84:2121.84 2176.59:2176.59:2176.59 -IOPATH A[14] O[30] 1722.21:1722.21:1722.21 1838.92:1838.92:1838.92 -IOPATH A[14] O[31] 1803.31:1803.31:1803.31 1887.52:1887.52:1887.52 -IOPATH A[15] ACCUMCO 1630.95:1630.95:1630.95 1787.14:1787.14:1787.14 -IOPATH A[15] CO 2203.35:2203.35:2203.35 2333.13:2333.13:2333.13 -IOPATH A[15] O[31] 1831.86:1831.86:1831.86 1893.08:1893.08:1893.08 -IOPATH A[15] SIGNEXTOUT 864.788:864.788:864.788 824.954:824.954:824.954 -IOPATH ADDSUBBOT ACCUMCO 2246.92:2246.92:2246.92 2490.81:2490.81:2490.81 -IOPATH ADDSUBBOT CO 2819.33:2819.33:2819.33 3036.8:3036.8:3036.8 -IOPATH ADDSUBBOT O[0] 1487.51:1487.51:1487.51 1615.96:1615.96:1615.96 -IOPATH ADDSUBBOT O[1] 1584.22:1584.22:1584.22 1689.25:1689.25:1689.25 -IOPATH ADDSUBBOT O[2] 1707.32:1707.32:1707.32 1832.64:1832.64:1832.64 -IOPATH ADDSUBBOT O[3] 1803.68:1803.68:1803.68 1934.88:1934.88:1934.88 -IOPATH ADDSUBBOT O[4] 2085.17:2085.17:2085.17 2212.01:2212.01:2212.01 -IOPATH ADDSUBBOT O[5] 2209.32:2209.32:2209.32 2356.03:2356.03:2356.03 -IOPATH ADDSUBBOT O[6] 2350.55:2350.55:2350.55 2508:2508:2508 -IOPATH ADDSUBBOT O[7] 2408.62:2408.62:2408.62 2556.95:2556.95:2556.95 -IOPATH ADDSUBBOT O[8] 2398.23:2398.23:2398.23 2578.55:2578.55:2578.55 -IOPATH ADDSUBBOT O[9] 2527.92:2527.92:2527.92 2728.01:2728.01:2728.01 -IOPATH ADDSUBBOT O[10] 2593.92:2593.92:2593.92 2773.97:2773.97:2773.97 -IOPATH ADDSUBBOT O[11] 2820.33:2820.33:2820.33 2994.7:2994.7:2994.7 -IOPATH ADDSUBBOT O[12] 2615.3:2615.3:2615.3 2768.67:2768.67:2768.67 -IOPATH ADDSUBBOT O[13] 2727.35:2727.35:2727.35 2888.29:2888.29:2888.29 -IOPATH ADDSUBBOT O[14] 3052.31:3052.31:3052.31 3231.48:3231.48:3231.48 -IOPATH ADDSUBBOT O[15] 2963.14:2963.14:2963.14 3156.5:3156.5:3156.5 -IOPATH ADDSUBBOT O[16] 3064.75:3064.75:3064.75 3315.51:3315.51:3315.51 -IOPATH ADDSUBBOT O[17] 3164.04:3164.04:3164.04 3387.78:3387.78:3387.78 -IOPATH ADDSUBBOT O[18] 3295.03:3295.03:3295.03 3545.48:3545.48:3545.48 -IOPATH ADDSUBBOT O[19] 3473.77:3473.77:3473.77 3664.72:3664.72:3664.72 -IOPATH ADDSUBBOT O[20] 3349.6:3349.6:3349.6 3520.77:3520.77:3520.77 -IOPATH ADDSUBBOT O[21] 3285.67:3285.67:3285.67 3451.2:3451.2:3451.2 -IOPATH ADDSUBBOT O[22] 3451.43:3451.43:3451.43 3657.36:3657.36:3657.36 -IOPATH ADDSUBBOT O[23] 3511.55:3511.55:3511.55 3688.46:3688.46:3688.46 -IOPATH ADDSUBBOT O[24] 3425.64:3425.64:3425.64 3583.07:3583.07:3583.07 -IOPATH ADDSUBBOT O[25] 3538.62:3538.62:3538.62 3721.58:3721.58:3721.58 -IOPATH ADDSUBBOT O[26] 3701.51:3701.51:3701.51 3901.79:3901.79:3901.79 -IOPATH ADDSUBBOT O[27] 3692.47:3692.47:3692.47 3856.93:3856.93:3856.93 -IOPATH ADDSUBBOT O[28] 3623.27:3623.27:3623.27 3780.83:3780.83:3780.83 -IOPATH ADDSUBBOT O[29] 3708.43:3708.43:3708.43 3868.97:3868.97:3868.97 -IOPATH ADDSUBBOT O[30] 3775.43:3775.43:3775.43 3905.41:3905.41:3905.41 -IOPATH ADDSUBBOT O[31] 3825.83:3825.83:3825.83 3915.95:3915.95:3915.95 -IOPATH ADDSUBTOP ACCUMCO 1606.18:1606.18:1606.18 1892.74:1892.74:1892.74 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH ADDSUBTOP O[16] 1843.02:1843.02:1843.02 2087.73:2087.73:2087.73 -IOPATH ADDSUBTOP O[17] 1967.89:1967.89:1967.89 2184.56:2184.56:2184.56 -IOPATH ADDSUBTOP O[18] 2091.82:2091.82:2091.82 2342.27:2342.27:2342.27 -IOPATH ADDSUBTOP O[19] 2270.56:2270.56:2270.56 2461.51:2461.51:2461.51 -IOPATH ADDSUBTOP O[20] 2385.6:2385.6:2385.6 2556.77:2556.77:2556.77 -IOPATH ADDSUBTOP O[21] 2321.67:2321.67:2321.67 2487.2:2487.2:2487.2 -IOPATH ADDSUBTOP O[22] 2487.42:2487.42:2487.42 2693.36:2693.36:2693.36 -IOPATH ADDSUBTOP O[23] 2547.55:2547.55:2547.55 2724.46:2724.46:2724.46 -IOPATH ADDSUBTOP O[24] 2461.6:2461.6:2461.6 2619.03:2619.03:2619.03 -IOPATH ADDSUBTOP O[25] 2574.59:2574.59:2574.59 2757.55:2757.55:2757.55 -IOPATH ADDSUBTOP O[26] 2737.47:2737.47:2737.47 2937.76:2937.76:2937.76 -IOPATH ADDSUBTOP O[27] 2728.44:2728.44:2728.44 2892.89:2892.89:2892.89 -IOPATH ADDSUBTOP O[28] 2659.24:2659.24:2659.24 2816.79:2816.79:2816.79 -IOPATH ADDSUBTOP O[29] 2744.39:2744.39:2744.39 2904.93:2904.93:2904.93 -IOPATH ADDSUBTOP O[30] 2811.39:2811.39:2811.39 2941.38:2941.38:2941.38 -IOPATH ADDSUBTOP O[31] 2861.8:2861.8:2861.8 2951.92:2951.92:2951.92 -IOPATH B[0] ACCUMCO 2173.89:2173.89:2173.89 2437.17:2437.17:2437.17 -IOPATH B[0] CO 2746.3:2746.3:2746.3 2983.16:2983.16:2983.16 -IOPATH B[0] O[0] 1616.92:1616.92:1616.92 1766.85:1766.85:1766.85 -IOPATH B[0] O[1] 1730.89:1730.89:1730.89 1840.16:1840.16:1840.16 -IOPATH B[0] O[2] 1858.23:1858.23:1858.23 1983.54:1983.54:1983.54 -IOPATH B[0] O[3] 1954.59:1954.59:1954.59 2085.79:2085.79:2085.79 -IOPATH B[0] O[4] 2101.7:2101.7:2101.7 2228.55:2228.55:2228.55 -IOPATH B[0] O[5] 2225.85:2225.85:2225.85 2372.56:2372.56:2372.56 -IOPATH B[0] O[6] 2367.09:2367.09:2367.09 2524.54:2524.54:2524.54 -IOPATH B[0] O[7] 2425.16:2425.16:2425.16 2573.48:2573.48:2573.48 -IOPATH B[0] O[8] 2414.76:2414.76:2414.76 2595.09:2595.09:2595.09 -IOPATH B[0] O[9] 2544.45:2544.45:2544.45 2744.54:2744.54:2744.54 -IOPATH B[0] O[10] 2610.45:2610.45:2610.45 2790.51:2790.51:2790.51 -IOPATH B[0] O[11] 2836.86:2836.86:2836.86 3011.24:3011.24:3011.24 -IOPATH B[0] O[12] 2631.83:2631.83:2631.83 2785.21:2785.21:2785.21 -IOPATH B[0] O[13] 2743.88:2743.88:2743.88 2904.82:2904.82:2904.82 -IOPATH B[0] O[14] 3068.84:3068.84:3068.84 3248.01:3248.01:3248.01 -IOPATH B[0] O[15] 2979.67:2979.67:2979.67 3173.04:3173.04:3173.04 -IOPATH B[0] O[16] 3011.11:3011.11:3011.11 3261.87:3261.87:3261.87 -IOPATH B[0] O[17] 3110.39:3110.39:3110.39 3334.13:3334.13:3334.13 -IOPATH B[0] O[18] 3241.38:3241.38:3241.38 3491.83:3491.83:3491.83 -IOPATH B[0] O[19] 3420.12:3420.12:3420.12 3611.07:3611.07:3611.07 -IOPATH B[0] O[20] 3295.95:3295.95:3295.95 3467.12:3467.12:3467.12 -IOPATH B[0] O[21] 3232.03:3232.03:3232.03 3397.56:3397.56:3397.56 -IOPATH B[0] O[22] 3397.78:3397.78:3397.78 3603.72:3603.72:3603.72 -IOPATH B[0] O[23] 3457.91:3457.91:3457.91 3634.82:3634.82:3634.82 -IOPATH B[0] O[24] 3371.99:3371.99:3371.99 3529.42:3529.42:3529.42 -IOPATH B[0] O[25] 3484.98:3484.98:3484.98 3667.94:3667.94:3667.94 -IOPATH B[0] O[26] 3647.86:3647.86:3647.86 3848.15:3848.15:3848.15 -IOPATH B[0] O[27] 3638.83:3638.83:3638.83 3803.28:3803.28:3803.28 -IOPATH B[0] O[28] 3569.63:3569.63:3569.63 3727.19:3727.19:3727.19 -IOPATH B[0] O[29] 3654.78:3654.78:3654.78 3815.32:3815.32:3815.32 -IOPATH B[0] O[30] 3721.78:3721.78:3721.78 3851.77:3851.77:3851.77 -IOPATH B[0] O[31] 3772.19:3772.19:3772.19 3862.31:3862.31:3862.31 -IOPATH B[1] ACCUMCO 2244.46:2244.46:2244.46 2500.09:2500.09:2500.09 -IOPATH B[1] CO 2816.86:2816.86:2816.86 3046.08:3046.08:3046.08 -IOPATH B[1] O[1] 1624.74:1624.74:1624.74 1759.2:1759.2:1759.2 -IOPATH B[1] O[2] 1801.85:1801.85:1801.85 1927.16:1927.16:1927.16 -IOPATH B[1] O[3] 1898.2:1898.2:1898.2 2029.41:2029.41:2029.41 -IOPATH B[1] O[4] 2164.63:2164.63:2164.63 2291.47:2291.47:2291.47 -IOPATH B[1] O[5] 2288.78:2288.78:2288.78 2435.49:2435.49:2435.49 -IOPATH B[1] O[6] 2430.02:2430.02:2430.02 2587.47:2587.47:2587.47 -IOPATH B[1] O[7] 2488.09:2488.09:2488.09 2636.41:2636.41:2636.41 -IOPATH B[1] O[8] 2477.69:2477.69:2477.69 2658.02:2658.02:2658.02 -IOPATH B[1] O[9] 2607.38:2607.38:2607.38 2807.47:2807.47:2807.47 -IOPATH B[1] O[10] 2673.38:2673.38:2673.38 2853.44:2853.44:2853.44 -IOPATH B[1] O[11] 2899.79:2899.79:2899.79 3074.17:3074.17:3074.17 -IOPATH B[1] O[12] 2694.76:2694.76:2694.76 2848.13:2848.13:2848.13 -IOPATH B[1] O[13] 2806.81:2806.81:2806.81 2967.75:2967.75:2967.75 -IOPATH B[1] O[14] 3131.77:3131.77:3131.77 3310.94:3310.94:3310.94 -IOPATH B[1] O[15] 3042.6:3042.6:3042.6 3235.97:3235.97:3235.97 -IOPATH B[1] O[16] 3074.04:3074.04:3074.04 3324.79:3324.79:3324.79 -IOPATH B[1] O[17] 3173.32:3173.32:3173.32 3397.06:3397.06:3397.06 -IOPATH B[1] O[18] 3304.31:3304.31:3304.31 3554.76:3554.76:3554.76 -IOPATH B[1] O[19] 3483.05:3483.05:3483.05 3674:3674:3674 -IOPATH B[1] O[20] 3358.88:3358.88:3358.88 3530.05:3530.05:3530.05 -IOPATH B[1] O[21] 3294.95:3294.95:3294.95 3460.48:3460.48:3460.48 -IOPATH B[1] O[22] 3460.71:3460.71:3460.71 3666.64:3666.64:3666.64 -IOPATH B[1] O[23] 3520.83:3520.83:3520.83 3697.74:3697.74:3697.74 -IOPATH B[1] O[24] 3434.92:3434.92:3434.92 3592.35:3592.35:3592.35 -IOPATH B[1] O[25] 3547.9:3547.9:3547.9 3730.86:3730.86:3730.86 -IOPATH B[1] O[26] 3710.79:3710.79:3710.79 3911.07:3911.07:3911.07 -IOPATH B[1] O[27] 3701.75:3701.75:3701.75 3866.21:3866.21:3866.21 -IOPATH B[1] O[28] 3632.55:3632.55:3632.55 3790.11:3790.11:3790.11 -IOPATH B[1] O[29] 3717.71:3717.71:3717.71 3878.25:3878.25:3878.25 -IOPATH B[1] O[30] 3784.7:3784.7:3784.7 3914.69:3914.69:3914.69 -IOPATH B[1] O[31] 3835.11:3835.11:3835.11 3925.23:3925.23:3925.23 -IOPATH B[2] ACCUMCO 2237.7:2237.7:2237.7 2465.1:2465.1:2465.1 -IOPATH B[2] CO 2810.1:2810.1:2810.1 3011.08:3011.08:3011.08 -IOPATH B[2] O[2] 1671.3:1671.3:1671.3 1822.61:1822.61:1822.61 -IOPATH B[2] O[3] 1818.17:1818.17:1818.17 1949.37:1949.37:1949.37 -IOPATH B[2] O[4] 2129.63:2129.63:2129.63 2256.47:2256.47:2256.47 -IOPATH B[2] O[5] 2253.78:2253.78:2253.78 2400.49:2400.49:2400.49 -IOPATH B[2] O[6] 2395.02:2395.02:2395.02 2552.47:2552.47:2552.47 -IOPATH B[2] O[7] 2453.09:2453.09:2453.09 2601.41:2601.41:2601.41 -IOPATH B[2] O[8] 2442.69:2442.69:2442.69 2623.02:2623.02:2623.02 -IOPATH B[2] O[9] 2572.38:2572.38:2572.38 2772.47:2772.47:2772.47 -IOPATH B[2] O[10] 2638.38:2638.38:2638.38 2818.44:2818.44:2818.44 -IOPATH B[2] O[11] 2864.79:2864.79:2864.79 3039.17:3039.17:3039.17 -IOPATH B[2] O[12] 2659.76:2659.76:2659.76 2813.14:2813.14:2813.14 -IOPATH B[2] O[13] 2771.81:2771.81:2771.81 2932.75:2932.75:2932.75 -IOPATH B[2] O[14] 3096.77:3096.77:3096.77 3275.94:3275.94:3275.94 -IOPATH B[2] O[15] 3007.6:3007.6:3007.6 3200.97:3200.97:3200.97 -IOPATH B[2] O[16] 3039.04:3039.04:3039.04 3289.79:3289.79:3289.79 -IOPATH B[2] O[17] 3138.32:3138.32:3138.32 3362.06:3362.06:3362.06 -IOPATH B[2] O[18] 3269.31:3269.31:3269.31 3519.76:3519.76:3519.76 -IOPATH B[2] O[19] 3448.05:3448.05:3448.05 3639:3639:3639 -IOPATH B[2] O[20] 3323.88:3323.88:3323.88 3495.05:3495.05:3495.05 -IOPATH B[2] O[21] 3259.96:3259.96:3259.96 3425.48:3425.48:3425.48 -IOPATH B[2] O[22] 3425.71:3425.71:3425.71 3631.65:3631.65:3631.65 -IOPATH B[2] O[23] 3485.83:3485.83:3485.83 3662.75:3662.75:3662.75 -IOPATH B[2] O[24] 3399.92:3399.92:3399.92 3557.35:3557.35:3557.35 -IOPATH B[2] O[25] 3512.9:3512.9:3512.9 3695.86:3695.86:3695.86 -IOPATH B[2] O[26] 3675.79:3675.79:3675.79 3876.07:3876.07:3876.07 -IOPATH B[2] O[27] 3666.76:3666.76:3666.76 3831.21:3831.21:3831.21 -IOPATH B[2] O[28] 3597.55:3597.55:3597.55 3755.11:3755.11:3755.11 -IOPATH B[2] O[29] 3682.71:3682.71:3682.71 3843.25:3843.25:3843.25 -IOPATH B[2] O[30] 3749.71:3749.71:3749.71 3879.69:3879.69:3879.69 -IOPATH B[2] O[31] 3800.11:3800.11:3800.11 3890.23:3890.23:3890.23 -IOPATH B[3] ACCUMCO 2118.7:2118.7:2118.7 2353.52:2353.52:2353.52 -IOPATH B[3] CO 2691.11:2691.11:2691.11 2899.51:2899.51:2899.51 -IOPATH B[3] O[3] 1604.07:1604.07:1604.07 1759.87:1759.87:1759.87 -IOPATH B[3] O[4] 2018.91:2018.91:2018.91 2145.76:2145.76:2145.76 -IOPATH B[3] O[5] 2143.06:2143.06:2143.06 2289.77:2289.77:2289.77 -IOPATH B[3] O[6] 2284.3:2284.3:2284.3 2441.75:2441.75:2441.75 -IOPATH B[3] O[7] 2342.37:2342.37:2342.37 2490.69:2490.69:2490.69 -IOPATH B[3] O[8] 2331.97:2331.97:2331.97 2512.3:2512.3:2512.3 -IOPATH B[3] O[9] 2461.66:2461.66:2461.66 2661.75:2661.75:2661.75 -IOPATH B[3] O[10] 2527.66:2527.66:2527.66 2707.72:2707.72:2707.72 -IOPATH B[3] O[11] 2754.07:2754.07:2754.07 2928.45:2928.45:2928.45 -IOPATH B[3] O[12] 2549.04:2549.04:2549.04 2702.41:2702.41:2702.41 -IOPATH B[3] O[13] 2661.09:2661.09:2661.09 2822.03:2822.03:2822.03 -IOPATH B[3] O[14] 2986.05:2986.05:2986.05 3165.22:3165.22:3165.22 -IOPATH B[3] O[15] 2896.88:2896.88:2896.88 3090.24:3090.24:3090.24 -IOPATH B[3] O[16] 2927.46:2927.46:2927.46 3178.22:3178.22:3178.22 -IOPATH B[3] O[17] 3026.74:3026.74:3026.74 3250.48:3250.48:3250.48 -IOPATH B[3] O[18] 3157.73:3157.73:3157.73 3408.18:3408.18:3408.18 -IOPATH B[3] O[19] 3336.47:3336.47:3336.47 3527.42:3527.42:3527.42 -IOPATH B[3] O[20] 3212.3:3212.3:3212.3 3383.47:3383.47:3383.47 -IOPATH B[3] O[21] 3148.38:3148.38:3148.38 3313.91:3313.91:3313.91 -IOPATH B[3] O[22] 3314.13:3314.13:3314.13 3520.07:3520.07:3520.07 -IOPATH B[3] O[23] 3374.26:3374.26:3374.26 3551.17:3551.17:3551.17 -IOPATH B[3] O[24] 3288.34:3288.34:3288.34 3445.77:3445.77:3445.77 -IOPATH B[3] O[25] 3401.33:3401.33:3401.33 3584.29:3584.29:3584.29 -IOPATH B[3] O[26] 3564.21:3564.21:3564.21 3764.5:3764.5:3764.5 -IOPATH B[3] O[27] 3555.18:3555.18:3555.18 3719.63:3719.63:3719.63 -IOPATH B[3] O[28] 3485.98:3485.98:3485.98 3643.54:3643.54:3643.54 -IOPATH B[3] O[29] 3571.13:3571.13:3571.13 3731.67:3731.67:3731.67 -IOPATH B[3] O[30] 3638.13:3638.13:3638.13 3768.12:3768.12:3768.12 -IOPATH B[3] O[31] 3688.54:3688.54:3688.54 3778.66:3778.66:3778.66 -IOPATH B[4] ACCUMCO 2237.36:2237.36:2237.36 2490.99:2490.99:2490.99 -IOPATH B[4] CO 2809.76:2809.76:2809.76 3036.97:3036.97:3036.97 -IOPATH B[4] O[4] 1703.16:1703.16:1703.16 1855.18:1855.18:1855.18 -IOPATH B[4] O[5] 1877.41:1877.41:1877.41 2024.12:2024.12:2024.12 -IOPATH B[4] O[6] 2018.65:2018.65:2018.65 2176.1:2176.1:2176.1 -IOPATH B[4] O[7] 2076.72:2076.72:2076.72 2225.04:2225.04:2225.04 -IOPATH B[4] O[8] 2243.5:2243.5:2243.5 2423.82:2423.82:2423.82 -IOPATH B[4] O[9] 2373.18:2373.18:2373.18 2573.27:2573.27:2573.27 -IOPATH B[4] O[10] 2439.18:2439.18:2439.18 2619.24:2619.24:2619.24 -IOPATH B[4] O[11] 2665.59:2665.59:2665.59 2839.97:2839.97:2839.97 -IOPATH B[4] O[12] 2460.52:2460.52:2460.52 2613.9:2613.9:2613.9 -IOPATH B[4] O[13] 2572.57:2572.57:2572.57 2733.51:2733.51:2733.51 -IOPATH B[4] O[14] 2897.53:2897.53:2897.53 3076.7:3076.7:3076.7 -IOPATH B[4] O[15] 2808.36:2808.36:2808.36 3001.73:3001.73:3001.73 -IOPATH B[4] O[16] 3064.93:3064.93:3064.93 3315.68:3315.68:3315.68 -IOPATH B[4] O[17] 3164.21:3164.21:3164.21 3387.95:3387.95:3387.95 -IOPATH B[4] O[18] 3295.2:3295.2:3295.2 3545.65:3545.65:3545.65 -IOPATH B[4] O[19] 3473.94:3473.94:3473.94 3664.89:3664.89:3664.89 -IOPATH B[4] O[20] 3349.77:3349.77:3349.77 3520.94:3520.94:3520.94 -IOPATH B[4] O[21] 3285.84:3285.84:3285.84 3451.38:3451.38:3451.38 -IOPATH B[4] O[22] 3451.6:3451.6:3451.6 3657.54:3657.54:3657.54 -IOPATH B[4] O[23] 3511.72:3511.72:3511.72 3688.63:3688.63:3688.63 -IOPATH B[4] O[24] 3425.81:3425.81:3425.81 3583.24:3583.24:3583.24 -IOPATH B[4] O[25] 3538.79:3538.79:3538.79 3721.76:3721.76:3721.76 -IOPATH B[4] O[26] 3701.68:3701.68:3701.68 3901.97:3901.97:3901.97 -IOPATH B[4] O[27] 3692.64:3692.64:3692.64 3857.1:3857.1:3857.1 -IOPATH B[4] O[28] 3623.44:3623.44:3623.44 3781:3781:3781 -IOPATH B[4] O[29] 3708.6:3708.6:3708.6 3869.14:3869.14:3869.14 -IOPATH B[4] O[30] 3775.6:3775.6:3775.6 3905.59:3905.59:3905.59 -IOPATH B[4] O[31] 3826:3826:3826 3916.13:3916.13:3916.13 -IOPATH B[5] ACCUMCO 2235.74:2235.74:2235.74 2548.46:2548.46:2548.46 -IOPATH B[5] CO 2808.14:2808.14:2808.14 3094.45:3094.45:3094.45 -IOPATH B[5] O[5] 1714.18:1714.18:1714.18 1887.16:1887.16:1887.16 -IOPATH B[5] O[6] 1906.2:1906.2:1906.2 2063.65:2063.65:2063.65 -IOPATH B[5] O[7] 1964.27:1964.27:1964.27 2112.6:2112.6:2112.6 -IOPATH B[5] O[8] 2301:2301:2301 2481.32:2481.32:2481.32 -IOPATH B[5] O[9] 2430.68:2430.68:2430.68 2630.77:2630.77:2630.77 -IOPATH B[5] O[10] 2496.68:2496.68:2496.68 2676.74:2676.74:2676.74 -IOPATH B[5] O[11] 2723.09:2723.09:2723.09 2897.47:2897.47:2897.47 -IOPATH B[5] O[12] 2518.02:2518.02:2518.02 2671.4:2671.4:2671.4 -IOPATH B[5] O[13] 2630.07:2630.07:2630.07 2791.01:2791.01:2791.01 -IOPATH B[5] O[14] 2955.03:2955.03:2955.03 3134.2:3134.2:3134.2 -IOPATH B[5] O[15] 2865.86:2865.86:2865.86 3059.23:3059.23:3059.23 -IOPATH B[5] O[16] 3122.4:3122.4:3122.4 3373.16:3373.16:3373.16 -IOPATH B[5] O[17] 3221.69:3221.69:3221.69 3445.42:3445.42:3445.42 -IOPATH B[5] O[18] 3352.68:3352.68:3352.68 3603.13:3603.13:3603.13 -IOPATH B[5] O[19] 3531.42:3531.42:3531.42 3722.36:3722.36:3722.36 -IOPATH B[5] O[20] 3407.24:3407.24:3407.24 3578.42:3578.42:3578.42 -IOPATH B[5] O[21] 3343.32:3343.32:3343.32 3508.85:3508.85:3508.85 -IOPATH B[5] O[22] 3509.07:3509.07:3509.07 3715.01:3715.01:3715.01 -IOPATH B[5] O[23] 3569.2:3569.2:3569.2 3746.11:3746.11:3746.11 -IOPATH B[5] O[24] 3483.28:3483.28:3483.28 3640.71:3640.71:3640.71 -IOPATH B[5] O[25] 3596.27:3596.27:3596.27 3779.23:3779.23:3779.23 -IOPATH B[5] O[26] 3759.15:3759.15:3759.15 3959.44:3959.44:3959.44 -IOPATH B[5] O[27] 3750.12:3750.12:3750.12 3914.57:3914.57:3914.57 -IOPATH B[5] O[28] 3680.92:3680.92:3680.92 3838.48:3838.48:3838.48 -IOPATH B[5] O[29] 3766.07:3766.07:3766.07 3926.61:3926.61:3926.61 -IOPATH B[5] O[30] 3833.07:3833.07:3833.07 3963.06:3963.06:3963.06 -IOPATH B[5] O[31] 3883.48:3883.48:3883.48 3973.6:3973.6:3973.6 -IOPATH B[6] ACCUMCO 2210.53:2210.53:2210.53 2477.51:2477.51:2477.51 -IOPATH B[6] CO 2782.93:2782.93:2782.93 3023.5:3023.5:3023.5 -IOPATH B[6] O[6] 1748.02:1748.02:1748.02 1931.53:1931.53:1931.53 -IOPATH B[6] O[7] 1856.64:1856.64:1856.64 2004.97:2004.97:2004.97 -IOPATH B[6] O[8] 2230.05:2230.05:2230.05 2410.37:2410.37:2410.37 -IOPATH B[6] O[9] 2359.73:2359.73:2359.73 2559.82:2559.82:2559.82 -IOPATH B[6] O[10] 2425.74:2425.74:2425.74 2605.79:2605.79:2605.79 -IOPATH B[6] O[11] 2652.14:2652.14:2652.14 2826.52:2826.52:2826.52 -IOPATH B[6] O[12] 2447.08:2447.08:2447.08 2600.45:2600.45:2600.45 -IOPATH B[6] O[13] 2559.13:2559.13:2559.13 2720.06:2720.06:2720.06 -IOPATH B[6] O[14] 2884.09:2884.09:2884.09 3063.26:3063.26:3063.26 -IOPATH B[6] O[15] 2794.92:2794.92:2794.92 2988.28:2988.28:2988.28 -IOPATH B[6] O[16] 3051.45:3051.45:3051.45 3302.21:3302.21:3302.21 -IOPATH B[6] O[17] 3150.74:3150.74:3150.74 3374.47:3374.47:3374.47 -IOPATH B[6] O[18] 3281.73:3281.73:3281.73 3532.18:3532.18:3532.18 -IOPATH B[6] O[19] 3460.47:3460.47:3460.47 3651.41:3651.41:3651.41 -IOPATH B[6] O[20] 3336.29:3336.29:3336.29 3507.47:3507.47:3507.47 -IOPATH B[6] O[21] 3272.37:3272.37:3272.37 3437.9:3437.9:3437.9 -IOPATH B[6] O[22] 3438.12:3438.12:3438.12 3644.06:3644.06:3644.06 -IOPATH B[6] O[23] 3498.25:3498.25:3498.25 3675.16:3675.16:3675.16 -IOPATH B[6] O[24] 3412.34:3412.34:3412.34 3569.76:3569.76:3569.76 -IOPATH B[6] O[25] 3525.32:3525.32:3525.32 3708.28:3708.28:3708.28 -IOPATH B[6] O[26] 3688.2:3688.2:3688.2 3888.49:3888.49:3888.49 -IOPATH B[6] O[27] 3679.17:3679.17:3679.17 3843.62:3843.62:3843.62 -IOPATH B[6] O[28] 3609.97:3609.97:3609.97 3767.53:3767.53:3767.53 -IOPATH B[6] O[29] 3695.13:3695.13:3695.13 3855.66:3855.66:3855.66 -IOPATH B[6] O[30] 3762.12:3762.12:3762.12 3892.11:3892.11:3892.11 -IOPATH B[6] O[31] 3812.53:3812.53:3812.53 3902.65:3902.65:3902.65 -IOPATH B[7] ACCUMCO 2154.2:2154.2:2154.2 2390.41:2390.41:2390.41 -IOPATH B[7] CO 2726.61:2726.61:2726.61 2936.4:2936.4:2936.4 -IOPATH B[7] O[7] 1683.68:1683.68:1683.68 1856.36:1856.36:1856.36 -IOPATH B[7] O[8] 2144.55:2144.55:2144.55 2324.87:2324.87:2324.87 -IOPATH B[7] O[9] 2274.23:2274.23:2274.23 2474.32:2474.32:2474.32 -IOPATH B[7] O[10] 2340.23:2340.23:2340.23 2520.29:2520.29:2520.29 -IOPATH B[7] O[11] 2566.64:2566.64:2566.64 2741.02:2741.02:2741.02 -IOPATH B[7] O[12] 2361.57:2361.57:2361.57 2514.94:2514.94:2514.94 -IOPATH B[7] O[13] 2473.62:2473.62:2473.62 2634.56:2634.56:2634.56 -IOPATH B[7] O[14] 2798.58:2798.58:2798.58 2977.75:2977.75:2977.75 -IOPATH B[7] O[15] 2709.41:2709.41:2709.41 2902.78:2902.78:2902.78 -IOPATH B[7] O[16] 2964.35:2964.35:2964.35 3215.11:3215.11:3215.11 -IOPATH B[7] O[17] 3063.63:3063.63:3063.63 3287.37:3287.37:3287.37 -IOPATH B[7] O[18] 3194.62:3194.62:3194.62 3445.07:3445.07:3445.07 -IOPATH B[7] O[19] 3373.36:3373.36:3373.36 3564.31:3564.31:3564.31 -IOPATH B[7] O[20] 3249.19:3249.19:3249.19 3420.36:3420.36:3420.36 -IOPATH B[7] O[21] 3185.27:3185.27:3185.27 3350.8:3350.8:3350.8 -IOPATH B[7] O[22] 3351.02:3351.02:3351.02 3556.96:3556.96:3556.96 -IOPATH B[7] O[23] 3411.15:3411.15:3411.15 3588.06:3588.06:3588.06 -IOPATH B[7] O[24] 3325.23:3325.23:3325.23 3482.66:3482.66:3482.66 -IOPATH B[7] O[25] 3438.22:3438.22:3438.22 3621.18:3621.18:3621.18 -IOPATH B[7] O[26] 3601.1:3601.1:3601.1 3801.39:3801.39:3801.39 -IOPATH B[7] O[27] 3592.07:3592.07:3592.07 3756.52:3756.52:3756.52 -IOPATH B[7] O[28] 3522.87:3522.87:3522.87 3680.43:3680.43:3680.43 -IOPATH B[7] O[29] 3608.02:3608.02:3608.02 3768.56:3768.56:3768.56 -IOPATH B[7] O[30] 3675.02:3675.02:3675.02 3805.01:3805.01:3805.01 -IOPATH B[7] O[31] 3725.43:3725.43:3725.43 3815.55:3815.55:3815.55 -IOPATH B[8] ACCUMCO 2082.38:2082.38:2082.38 2250.27:2250.27:2250.27 -IOPATH B[8] CO 2654.79:2654.79:2654.79 2796.26:2796.26:2796.26 -IOPATH B[8] O[8] 1671.5:1671.5:1671.5 1844.09:1844.09:1844.09 -IOPATH B[8] O[9] 1825.3:1825.3:1825.3 2018.23:2018.23:2018.23 -IOPATH B[8] O[10] 1884.14:1884.14:1884.14 2064.2:2064.2:2064.2 -IOPATH B[8] O[11] 2110.55:2110.55:2110.55 2284.93:2284.93:2284.93 -IOPATH B[8] O[12] 2138.04:2138.04:2138.04 2291.31:2291.31:2291.31 -IOPATH B[8] O[13] 2250:2250:2250 2410.94:2410.94:2410.94 -IOPATH B[8] O[14] 2574.96:2574.96:2574.96 2754.13:2754.13:2754.13 -IOPATH B[8] O[15] 2485.79:2485.79:2485.79 2679.15:2679.15:2679.15 -IOPATH B[8] O[16] 2824.21:2824.21:2824.21 3074.97:3074.97:3074.97 -IOPATH B[8] O[17] 2923.5:2923.5:2923.5 3147.24:3147.24:3147.24 -IOPATH B[8] O[18] 3054.49:3054.49:3054.49 3304.94:3304.94:3304.94 -IOPATH B[8] O[19] 3233.23:3233.23:3233.23 3424.18:3424.18:3424.18 -IOPATH B[8] O[20] 3109.06:3109.06:3109.06 3280.23:3280.23:3280.23 -IOPATH B[8] O[21] 3045.13:3045.13:3045.13 3210.66:3210.66:3210.66 -IOPATH B[8] O[22] 3210.89:3210.89:3210.89 3416.82:3416.82:3416.82 -IOPATH B[8] O[23] 3271.01:3271.01:3271.01 3447.92:3447.92:3447.92 -IOPATH B[8] O[24] 3185.1:3185.1:3185.1 3342.52:3342.52:3342.52 -IOPATH B[8] O[25] 3298.08:3298.08:3298.08 3481.04:3481.04:3481.04 -IOPATH B[8] O[26] 3460.97:3460.97:3460.97 3661.25:3661.25:3661.25 -IOPATH B[8] O[27] 3451.93:3451.93:3451.93 3616.38:3616.38:3616.38 -IOPATH B[8] O[28] 3382.73:3382.73:3382.73 3540.29:3540.29:3540.29 -IOPATH B[8] O[29] 3467.89:3467.89:3467.89 3628.42:3628.42:3628.42 -IOPATH B[8] O[30] 3534.88:3534.88:3534.88 3664.87:3664.87:3664.87 -IOPATH B[8] O[31] 3585.29:3585.29:3585.29 3675.41:3675.41:3675.41 -IOPATH B[9] ACCUMCO 2180.6:2180.6:2180.6 2302:2302:2302 -IOPATH B[9] CO 2753:2753:2753 2847.99:2847.99:2847.99 -IOPATH B[9] O[9] 1789.81:1789.81:1789.81 1973.74:1973.74:1973.74 -IOPATH B[9] O[10] 1879.05:1879.05:1879.05 2043.83:2043.83:2043.83 -IOPATH B[9] O[11] 2093.51:2093.51:2093.51 2250.18:2250.18:2250.18 -IOPATH B[9] O[12] 2189.76:2189.76:2189.76 2343.04:2343.04:2343.04 -IOPATH B[9] O[13] 2301.72:2301.72:2301.72 2462.66:2462.66:2462.66 -IOPATH B[9] O[14] 2626.68:2626.68:2626.68 2805.86:2805.86:2805.86 -IOPATH B[9] O[15] 2537.52:2537.52:2537.52 2730.88:2730.88:2730.88 -IOPATH B[9] O[16] 2882.91:2882.91:2882.91 3126.7:3126.7:3126.7 -IOPATH B[9] O[17] 2975.23:2975.23:2975.23 3198.96:3198.96:3198.96 -IOPATH B[9] O[18] 3106.22:3106.22:3106.22 3356.67:3356.67:3356.67 -IOPATH B[9] O[19] 3284.96:3284.96:3284.96 3475.91:3475.91:3475.91 -IOPATH B[9] O[20] 3160.78:3160.78:3160.78 3331.96:3331.96:3331.96 -IOPATH B[9] O[21] 3096.86:3096.86:3096.86 3262.39:3262.39:3262.39 -IOPATH B[9] O[22] 3262.61:3262.61:3262.61 3468.55:3468.55:3468.55 -IOPATH B[9] O[23] 3322.74:3322.74:3322.74 3499.65:3499.65:3499.65 -IOPATH B[9] O[24] 3236.83:3236.83:3236.83 3394.26:3394.26:3394.26 -IOPATH B[9] O[25] 3349.81:3349.81:3349.81 3532.77:3532.77:3532.77 -IOPATH B[9] O[26] 3512.69:3512.69:3512.69 3712.98:3712.98:3712.98 -IOPATH B[9] O[27] 3503.66:3503.66:3503.66 3668.12:3668.12:3668.12 -IOPATH B[9] O[28] 3434.46:3434.46:3434.46 3592.02:3592.02:3592.02 -IOPATH B[9] O[29] 3519.62:3519.62:3519.62 3680.15:3680.15:3680.15 -IOPATH B[9] O[30] 3586.61:3586.61:3586.61 3716.6:3716.6:3716.6 -IOPATH B[9] O[31] 3637.02:3637.02:3637.02 3727.14:3727.14:3727.14 -IOPATH B[10] ACCUMCO 2131.7:2131.7:2131.7 2257.38:2257.38:2257.38 -IOPATH B[10] CO 2704.11:2704.11:2704.11 2803.36:2803.36:2803.36 -IOPATH B[10] O[10] 1704.15:1704.15:1704.15 1886.27:1886.27:1886.27 -IOPATH B[10] O[11] 1957.26:1957.26:1957.26 2131.63:2131.63:2131.63 -IOPATH B[10] O[12] 2118.23:2118.23:2118.23 2271.51:2271.51:2271.51 -IOPATH B[10] O[13] 2230.19:2230.19:2230.19 2391.13:2391.13:2391.13 -IOPATH B[10] O[14] 2555.15:2555.15:2555.15 2734.32:2734.32:2734.32 -IOPATH B[10] O[15] 2465.98:2465.98:2465.98 2659.35:2659.35:2659.35 -IOPATH B[10] O[16] 2834.01:2834.01:2834.01 3082.08:3082.08:3082.08 -IOPATH B[10] O[17] 2930.6:2930.6:2930.6 3154.34:3154.34:3154.34 -IOPATH B[10] O[18] 3061.59:3061.59:3061.59 3312.04:3312.04:3312.04 -IOPATH B[10] O[19] 3240.33:3240.33:3240.33 3431.28:3431.28:3431.28 -IOPATH B[10] O[20] 3116.16:3116.16:3116.16 3287.33:3287.33:3287.33 -IOPATH B[10] O[21] 3052.24:3052.24:3052.24 3217.77:3217.77:3217.77 -IOPATH B[10] O[22] 3217.99:3217.99:3217.99 3423.93:3423.93:3423.93 -IOPATH B[10] O[23] 3278.12:3278.12:3278.12 3455.03:3455.03:3455.03 -IOPATH B[10] O[24] 3192.2:3192.2:3192.2 3349.63:3349.63:3349.63 -IOPATH B[10] O[25] 3305.19:3305.19:3305.19 3488.15:3488.15:3488.15 -IOPATH B[10] O[26] 3468.07:3468.07:3468.07 3668.36:3668.36:3668.36 -IOPATH B[10] O[27] 3459.04:3459.04:3459.04 3623.49:3623.49:3623.49 -IOPATH B[10] O[28] 3389.83:3389.83:3389.83 3547.39:3547.39:3547.39 -IOPATH B[10] O[29] 3474.99:3474.99:3474.99 3635.53:3635.53:3635.53 -IOPATH B[10] O[30] 3541.99:3541.99:3541.99 3671.98:3671.98:3671.98 -IOPATH B[10] O[31] 3592.39:3592.39:3592.39 3682.52:3682.52:3682.52 -IOPATH B[11] ACCUMCO 2230.14:2230.14:2230.14 2319.93:2319.93:2319.93 -IOPATH B[11] CO 2802.55:2802.55:2802.55 2865.92:2865.92:2865.92 -IOPATH B[11] O[11] 1953.7:1953.7:1953.7 2110.38:2110.38:2110.38 -IOPATH B[11] O[12] 2188.36:2188.36:2188.36 2326.76:2326.76:2326.76 -IOPATH B[11] O[13] 2286.82:2286.82:2286.82 2433.22:2433.22:2433.22 -IOPATH B[11] O[14] 2597.15:2597.15:2597.15 2776.41:2776.41:2776.41 -IOPATH B[11] O[15] 2508.07:2508.07:2508.07 2701.44:2701.44:2701.44 -IOPATH B[11] O[16] 2932.45:2932.45:2932.45 3168.31:3168.31:3168.31 -IOPATH B[11] O[17] 3019.63:3019.63:3019.63 3227.88:3227.88:3227.88 -IOPATH B[11] O[18] 3135.08:3135.08:3135.08 3374.6:3374.6:3374.6 -IOPATH B[11] O[19] 3303.1:3303.1:3303.1 3493.84:3493.84:3493.84 -IOPATH B[11] O[20] 3178.72:3178.72:3178.72 3349.89:3349.89:3349.89 -IOPATH B[11] O[21] 3114.79:3114.79:3114.79 3280.32:3280.32:3280.32 -IOPATH B[11] O[22] 3280.55:3280.55:3280.55 3486.48:3486.48:3486.48 -IOPATH B[11] O[23] 3340.67:3340.67:3340.67 3517.58:3517.58:3517.58 -IOPATH B[11] O[24] 3254.76:3254.76:3254.76 3412.19:3412.19:3412.19 -IOPATH B[11] O[25] 3367.74:3367.74:3367.74 3550.7:3550.7:3550.7 -IOPATH B[11] O[26] 3530.63:3530.63:3530.63 3730.91:3730.91:3730.91 -IOPATH B[11] O[27] 3521.59:3521.59:3521.59 3686.05:3686.05:3686.05 -IOPATH B[11] O[28] 3452.39:3452.39:3452.39 3609.95:3609.95:3609.95 -IOPATH B[11] O[29] 3537.55:3537.55:3537.55 3698.08:3698.08:3698.08 -IOPATH B[11] O[30] 3604.55:3604.55:3604.55 3734.53:3734.53:3734.53 -IOPATH B[11] O[31] 3654.95:3654.95:3654.95 3745.07:3745.07:3745.07 -IOPATH B[12] ACCUMCO 2262.52:2262.52:2262.52 2449.83:2449.83:2449.83 -IOPATH B[12] CO 2834.93:2834.93:2834.93 2995.82:2995.82:2995.82 -IOPATH B[12] O[12] 1849.2:1849.2:1849.2 1987.6:1987.6:1987.6 -IOPATH B[12] O[13] 1984.24:1984.24:1984.24 2129.29:2129.29:2129.29 -IOPATH B[12] O[14] 2294.58:2294.58:2294.58 2458.88:2458.88:2458.88 -IOPATH B[12] O[15] 2192.33:2192.33:2192.33 2373.47:2373.47:2373.47 -IOPATH B[12] O[16] 3023.77:3023.77:3023.77 3274.53:3274.53:3274.53 -IOPATH B[12] O[17] 3123.06:3123.06:3123.06 3346.8:3346.8:3346.8 -IOPATH B[12] O[18] 3254.05:3254.05:3254.05 3504.5:3504.5:3504.5 -IOPATH B[12] O[19] 3432.79:3432.79:3432.79 3623.74:3623.74:3623.74 -IOPATH B[12] O[20] 3308.61:3308.61:3308.61 3479.79:3479.79:3479.79 -IOPATH B[12] O[21] 3244.69:3244.69:3244.69 3410.22:3410.22:3410.22 -IOPATH B[12] O[22] 3410.44:3410.44:3410.44 3616.38:3616.38:3616.38 -IOPATH B[12] O[23] 3470.57:3470.57:3470.57 3647.48:3647.48:3647.48 -IOPATH B[12] O[24] 3384.66:3384.66:3384.66 3542.08:3542.08:3542.08 -IOPATH B[12] O[25] 3497.64:3497.64:3497.64 3680.6:3680.6:3680.6 -IOPATH B[12] O[26] 3660.53:3660.53:3660.53 3860.81:3860.81:3860.81 -IOPATH B[12] O[27] 3651.49:3651.49:3651.49 3815.94:3815.94:3815.94 -IOPATH B[12] O[28] 3582.29:3582.29:3582.29 3739.85:3739.85:3739.85 -IOPATH B[12] O[29] 3667.45:3667.45:3667.45 3827.98:3827.98:3827.98 -IOPATH B[12] O[30] 3734.44:3734.44:3734.44 3864.43:3864.43:3864.43 -IOPATH B[12] O[31] 3784.85:3784.85:3784.85 3874.97:3874.97:3874.97 -IOPATH B[13] ACCUMCO 2138.46:2138.46:2138.46 2326.82:2326.82:2326.82 -IOPATH B[13] CO 2710.87:2710.87:2710.87 2872.8:2872.8:2872.8 -IOPATH B[13] O[13] 1720.64:1720.64:1720.64 1877.4:1877.4:1877.4 -IOPATH B[13] O[14] 2065.25:2065.25:2065.25 2244.42:2244.42:2244.42 -IOPATH B[13] O[15] 1976.08:1976.08:1976.08 2169.44:2169.44:2169.44 -IOPATH B[13] O[16] 2900.76:2900.76:2900.76 3151.51:3151.51:3151.51 -IOPATH B[13] O[17] 3000.04:3000.04:3000.04 3223.78:3223.78:3223.78 -IOPATH B[13] O[18] 3131.03:3131.03:3131.03 3381.48:3381.48:3381.48 -IOPATH B[13] O[19] 3309.77:3309.77:3309.77 3500.72:3500.72:3500.72 -IOPATH B[13] O[20] 3185.6:3185.6:3185.6 3356.77:3356.77:3356.77 -IOPATH B[13] O[21] 3121.68:3121.68:3121.68 3287.2:3287.2:3287.2 -IOPATH B[13] O[22] 3287.43:3287.43:3287.43 3493.37:3493.37:3493.37 -IOPATH B[13] O[23] 3347.55:3347.55:3347.55 3524.47:3524.47:3524.47 -IOPATH B[13] O[24] 3261.64:3261.64:3261.64 3419.07:3419.07:3419.07 -IOPATH B[13] O[25] 3374.62:3374.62:3374.62 3557.58:3557.58:3557.58 -IOPATH B[13] O[26] 3537.51:3537.51:3537.51 3737.8:3737.8:3737.8 -IOPATH B[13] O[27] 3528.47:3528.47:3528.47 3692.93:3692.93:3692.93 -IOPATH B[13] O[28] 3459.27:3459.27:3459.27 3616.83:3616.83:3616.83 -IOPATH B[13] O[29] 3544.43:3544.43:3544.43 3704.97:3704.97:3704.97 -IOPATH B[13] O[30] 3611.43:3611.43:3611.43 3741.41:3741.41:3741.41 -IOPATH B[13] O[31] 3661.83:3661.83:3661.83 3751.95:3751.95:3751.95 -IOPATH B[14] ACCUMCO 2102.15:2102.15:2102.15 2206.85:2206.85:2206.85 -IOPATH B[14] CO 2674.55:2674.55:2674.55 2752.84:2752.84:2752.84 -IOPATH B[14] O[14] 1893.12:1893.12:1893.12 2057.42:2057.42:2057.42 -IOPATH B[14] O[15] 1826.54:1826.54:1826.54 2002.41:2002.41:2002.41 -IOPATH B[14] O[16] 2804.45:2804.45:2804.45 3040.31:3040.31:3040.31 -IOPATH B[14] O[17] 2891.63:2891.63:2891.63 3103.84:3103.84:3103.84 -IOPATH B[14] O[18] 3011.07:3011.07:3011.07 3261.52:3261.52:3261.52 -IOPATH B[14] O[19] 3189.81:3189.81:3189.81 3380.76:3380.76:3380.76 -IOPATH B[14] O[20] 3065.64:3065.64:3065.64 3236.81:3236.81:3236.81 -IOPATH B[14] O[21] 3001.71:3001.71:3001.71 3167.24:3167.24:3167.24 -IOPATH B[14] O[22] 3167.47:3167.47:3167.47 3373.4:3373.4:3373.4 -IOPATH B[14] O[23] 3227.59:3227.59:3227.59 3404.5:3404.5:3404.5 -IOPATH B[14] O[24] 3141.68:3141.68:3141.68 3299.11:3299.11:3299.11 -IOPATH B[14] O[25] 3254.66:3254.66:3254.66 3437.62:3437.62:3437.62 -IOPATH B[14] O[26] 3417.55:3417.55:3417.55 3617.83:3617.83:3617.83 -IOPATH B[14] O[27] 3408.51:3408.51:3408.51 3572.97:3572.97:3572.97 -IOPATH B[14] O[28] 3339.31:3339.31:3339.31 3496.87:3496.87:3496.87 -IOPATH B[14] O[29] 3424.47:3424.47:3424.47 3585:3585:3585 -IOPATH B[14] O[30] 3491.47:3491.47:3491.47 3621.45:3621.45:3621.45 -IOPATH B[14] O[31] 3541.87:3541.87:3541.87 3631.99:3631.99:3631.99 -IOPATH B[15] ACCUMCO 2323.19:2323.19:2323.19 2463.13:2463.13:2463.13 -IOPATH B[15] CO 2895.59:2895.59:2895.59 3009.12:3009.12:3009.12 -IOPATH B[15] O[15] 1981.1:1981.1:1981.1 2199.56:2199.56:2199.56 -IOPATH B[15] O[16] 3037.07:3037.07:3037.07 3287.83:3287.83:3287.83 -IOPATH B[15] O[17] 3136.36:3136.36:3136.36 3360.1:3360.1:3360.1 -IOPATH B[15] O[18] 3267.35:3267.35:3267.35 3517.8:3517.8:3517.8 -IOPATH B[15] O[19] 3446.09:3446.09:3446.09 3637.04:3637.04:3637.04 -IOPATH B[15] O[20] 3321.92:3321.92:3321.92 3493.09:3493.09:3493.09 -IOPATH B[15] O[21] 3257.99:3257.99:3257.99 3423.52:3423.52:3423.52 -IOPATH B[15] O[22] 3423.75:3423.75:3423.75 3629.68:3629.68:3629.68 -IOPATH B[15] O[23] 3483.87:3483.87:3483.87 3660.78:3660.78:3660.78 -IOPATH B[15] O[24] 3397.96:3397.96:3397.96 3555.39:3555.39:3555.39 -IOPATH B[15] O[25] 3510.94:3510.94:3510.94 3693.9:3693.9:3693.9 -IOPATH B[15] O[26] 3673.83:3673.83:3673.83 3874.11:3874.11:3874.11 -IOPATH B[15] O[27] 3664.79:3664.79:3664.79 3829.25:3829.25:3829.25 -IOPATH B[15] O[28] 3595.59:3595.59:3595.59 3753.15:3753.15:3753.15 -IOPATH B[15] O[29] 3680.75:3680.75:3680.75 3841.29:3841.29:3841.29 -IOPATH B[15] O[30] 3747.75:3747.75:3747.75 3877.73:3877.73:3877.73 -IOPATH B[15] O[31] 3798.15:3798.15:3798.15 3888.27:3888.27:3888.27 -IOPATH C[0] ACCUMCO 1419.09:1419.09:1419.09 1811.28:1811.28:1811.28 -IOPATH C[0] CO 1991.5:1991.5:1991.5 2357.27:2357.27:2357.27 -IOPATH C[0] O[16] 1794.63:1794.63:1794.63 2039.34:2039.34:2039.34 -IOPATH C[0] O[17] 1919.5:1919.5:1919.5 2136.17:2136.17:2136.17 -IOPATH C[0] O[18] 2043.43:2043.43:2043.43 2293.88:2293.88:2293.88 -IOPATH C[0] O[19] 2222.17:2222.17:2222.17 2413.12:2413.12:2413.12 -IOPATH C[0] O[20] 2304.14:2304.14:2304.14 2475.31:2475.31:2475.31 -IOPATH C[0] O[21] 2240.21:2240.21:2240.21 2405.74:2405.74:2405.74 -IOPATH C[0] O[22] 2405.96:2405.96:2405.96 2611.9:2611.9:2611.9 -IOPATH C[0] O[23] 2466.09:2466.09:2466.09 2643:2643:2643 -IOPATH C[0] O[24] 2380.14:2380.14:2380.14 2537.57:2537.57:2537.57 -IOPATH C[0] O[25] 2493.12:2493.12:2493.12 2676.09:2676.09:2676.09 -IOPATH C[0] O[26] 2656.01:2656.01:2656.01 2856.3:2856.3:2856.3 -IOPATH C[0] O[27] 2646.98:2646.98:2646.98 2811.43:2811.43:2811.43 -IOPATH C[0] O[28] 2577.77:2577.77:2577.77 2735.33:2735.33:2735.33 -IOPATH C[0] O[29] 2662.93:2662.93:2662.93 2823.47:2823.47:2823.47 -IOPATH C[0] O[30] 2729.93:2729.93:2729.93 2859.92:2859.92:2859.92 -IOPATH C[0] O[31] 2780.33:2780.33:2780.33 2870.46:2870.46:2870.46 -IOPATH C[1] ACCUMCO 1602.24:1602.24:1602.24 1888.81:1888.81:1888.81 -IOPATH C[1] CO 2174.65:2174.65:2174.65 2434.79:2434.79:2434.79 -IOPATH C[1] O[17] 1839.51:1839.51:1839.51 2053.37:2053.37:2053.37 -IOPATH C[1] O[18] 1991.22:1991.22:1991.22 2235.89:2235.89:2235.89 -IOPATH C[1] O[19] 2164.17:2164.17:2164.17 2355.12:2355.12:2355.12 -IOPATH C[1] O[20] 2381.67:2381.67:2381.67 2552.84:2552.84:2552.84 -IOPATH C[1] O[21] 2317.74:2317.74:2317.74 2483.27:2483.27:2483.27 -IOPATH C[1] O[22] 2483.49:2483.49:2483.49 2689.43:2689.43:2689.43 -IOPATH C[1] O[23] 2543.62:2543.62:2543.62 2720.53:2720.53:2720.53 -IOPATH C[1] O[24] 2457.67:2457.67:2457.67 2615.1:2615.1:2615.1 -IOPATH C[1] O[25] 2570.65:2570.65:2570.65 2753.62:2753.62:2753.62 -IOPATH C[1] O[26] 2733.54:2733.54:2733.54 2933.83:2933.83:2933.83 -IOPATH C[1] O[27] 2724.5:2724.5:2724.5 2888.96:2888.96:2888.96 -IOPATH C[1] O[28] 2655.3:2655.3:2655.3 2812.86:2812.86:2812.86 -IOPATH C[1] O[29] 2740.46:2740.46:2740.46 2901:2901:2901 -IOPATH C[1] O[30] 2807.46:2807.46:2807.46 2937.45:2937.45:2937.45 -IOPATH C[1] O[31] 2857.86:2857.86:2857.86 2947.99:2947.99:2947.99 -IOPATH C[2] ACCUMCO 1542.97:1542.97:1542.97 1785.4:1785.4:1785.4 -IOPATH C[2] CO 2115.38:2115.38:2115.38 2331.39:2331.39:2331.39 -IOPATH C[2] O[18] 1820.77:1820.77:1820.77 2058.4:2058.4:2058.4 -IOPATH C[2] O[19] 2023.83:2023.83:2023.83 2201.73:2201.73:2201.73 -IOPATH C[2] O[20] 2278.27:2278.27:2278.27 2449.44:2449.44:2449.44 -IOPATH C[2] O[21] 2214.34:2214.34:2214.34 2379.87:2379.87:2379.87 -IOPATH C[2] O[22] 2380.09:2380.09:2380.09 2586.03:2586.03:2586.03 -IOPATH C[2] O[23] 2440.22:2440.22:2440.22 2617.13:2617.13:2617.13 -IOPATH C[2] O[24] 2354.27:2354.27:2354.27 2511.7:2511.7:2511.7 -IOPATH C[2] O[25] 2467.25:2467.25:2467.25 2650.21:2650.21:2650.21 -IOPATH C[2] O[26] 2630.14:2630.14:2630.14 2830.42:2830.42:2830.42 -IOPATH C[2] O[27] 2621.1:2621.1:2621.1 2785.56:2785.56:2785.56 -IOPATH C[2] O[28] 2551.9:2551.9:2551.9 2709.46:2709.46:2709.46 -IOPATH C[2] O[29] 2637.06:2637.06:2637.06 2797.59:2797.59:2797.59 -IOPATH C[2] O[30] 2704.05:2704.05:2704.05 2834.04:2834.04:2834.04 -IOPATH C[2] O[31] 2754.46:2754.46:2754.46 2844.58:2844.58:2844.58 -IOPATH C[3] ACCUMCO 1481.12:1481.12:1481.12 1739.98:1739.98:1739.98 -IOPATH C[3] CO 2053.53:2053.53:2053.53 2285.97:2285.97:2285.97 -IOPATH C[3] O[19] 1901.8:1901.8:1901.8 2090.53:2090.53:2090.53 -IOPATH C[3] O[20] 2233.45:2233.45:2233.45 2404.62:2404.62:2404.62 -IOPATH C[3] O[21] 2169.52:2169.52:2169.52 2335.05:2335.05:2335.05 -IOPATH C[3] O[22] 2335.27:2335.27:2335.27 2541.21:2541.21:2541.21 -IOPATH C[3] O[23] 2395.4:2395.4:2395.4 2572.31:2572.31:2572.31 -IOPATH C[3] O[24] 2309.44:2309.44:2309.44 2466.87:2466.87:2466.87 -IOPATH C[3] O[25] 2422.43:2422.43:2422.43 2605.39:2605.39:2605.39 -IOPATH C[3] O[26] 2585.31:2585.31:2585.31 2785.6:2785.6:2785.6 -IOPATH C[3] O[27] 2576.28:2576.28:2576.28 2740.73:2740.73:2740.73 -IOPATH C[3] O[28] 2507.08:2507.08:2507.08 2664.64:2664.64:2664.64 -IOPATH C[3] O[29] 2592.24:2592.24:2592.24 2752.77:2752.77:2752.77 -IOPATH C[3] O[30] 2659.23:2659.23:2659.23 2789.22:2789.22:2789.22 -IOPATH C[3] O[31] 2709.64:2709.64:2709.64 2799.76:2799.76:2799.76 -IOPATH C[4] ACCUMCO 1492.98:1492.98:1492.98 1845.62:1845.62:1845.62 -IOPATH C[4] CO 2065.38:2065.38:2065.38 2391.61:2391.61:2391.61 -IOPATH C[4] O[20] 1870.7:1870.7:1870.7 2032.24:2032.24:2032.24 -IOPATH C[4] O[21] 1832.25:1832.25:1832.25 1987.49:1987.49:1987.49 -IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65 -IOPATH C[4] O[23] 2047.84:2047.84:2047.84 2224.75:2224.75:2224.75 -IOPATH C[4] O[24] 2184.3:2184.3:2184.3 2341.72:2341.72:2341.72 -IOPATH C[4] O[25] 2297.27:2297.27:2297.27 2480.24:2480.24:2480.24 -IOPATH C[4] O[26] 2460.16:2460.16:2460.16 2660.45:2660.45:2660.45 -IOPATH C[4] O[27] 2451.13:2451.13:2451.13 2615.58:2615.58:2615.58 -IOPATH C[4] O[28] 2381.89:2381.89:2381.89 2539.45:2539.45:2539.45 -IOPATH C[4] O[29] 2467.05:2467.05:2467.05 2627.58:2627.58:2627.58 -IOPATH C[4] O[30] 2534.04:2534.04:2534.04 2664.03:2664.03:2664.03 -IOPATH C[4] O[31] 2584.45:2584.45:2584.45 2674.57:2674.57:2674.57 -IOPATH C[5] ACCUMCO 1510.36:1510.36:1510.36 1834.88:1834.88:1834.88 -IOPATH C[5] CO 2082.76:2082.76:2082.76 2380.87:2380.87:2380.87 -IOPATH C[5] O[21] 1684.53:1684.53:1684.53 1839.9:1839.9:1839.9 -IOPATH C[5] O[22] 1872.71:1872.71:1872.71 2070.8:2070.8:2070.8 -IOPATH C[5] O[23] 1924.99:1924.99:1924.99 2101.9:2101.9:2101.9 -IOPATH C[5] O[24] 2173.57:2173.57:2173.57 2331:2331:2331 -IOPATH C[5] O[25] 2286.55:2286.55:2286.55 2469.51:2469.51:2469.51 -IOPATH C[5] O[26] 2449.43:2449.43:2449.43 2649.72:2649.72:2649.72 -IOPATH C[5] O[27] 2440.4:2440.4:2440.4 2604.85:2604.85:2604.85 -IOPATH C[5] O[28] 2371.16:2371.16:2371.16 2528.72:2528.72:2528.72 -IOPATH C[5] O[29] 2456.32:2456.32:2456.32 2616.86:2616.86:2616.86 -IOPATH C[5] O[30] 2523.32:2523.32:2523.32 2653.3:2653.3:2653.3 -IOPATH C[5] O[31] 2573.72:2573.72:2573.72 2663.84:2663.84:2663.84 -IOPATH C[6] ACCUMCO 1504.31:1504.31:1504.31 1786.71:1786.71:1786.71 -IOPATH C[6] CO 2076.71:2076.71:2076.71 2332.69:2332.69:2332.69 -IOPATH C[6] O[22] 1757.48:1757.48:1757.48 1952.53:1952.53:1952.53 -IOPATH C[6] O[23] 1842.03:1842.03:1842.03 2008.05:2008.05:2008.05 -IOPATH C[6] O[24] 2125.39:2125.39:2125.39 2282.82:2282.82:2282.82 -IOPATH C[6] O[25] 2238.37:2238.37:2238.37 2421.33:2421.33:2421.33 -IOPATH C[6] O[26] 2401.26:2401.26:2401.26 2601.54:2601.54:2601.54 -IOPATH C[6] O[27] 2392.22:2392.22:2392.22 2556.67:2556.67:2556.67 -IOPATH C[6] O[28] 2322.98:2322.98:2322.98 2480.54:2480.54:2480.54 -IOPATH C[6] O[29] 2408.14:2408.14:2408.14 2568.68:2568.68:2568.68 -IOPATH C[6] O[30] 2475.14:2475.14:2475.14 2605.12:2605.12:2605.12 -IOPATH C[6] O[31] 2525.54:2525.54:2525.54 2615.66:2615.66:2615.66 -IOPATH C[7] ACCUMCO 1437.6:1437.6:1437.6 1712.07:1712.07:1712.07 -IOPATH C[7] CO 2010.01:2010.01:2010.01 2258.06:2258.06:2258.06 -IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36 -IOPATH C[7] O[24] 2051.96:2051.96:2051.96 2209.38:2209.38:2209.38 -IOPATH C[7] O[25] 2164.93:2164.93:2164.93 2347.89:2347.89:2347.89 -IOPATH C[7] O[26] 2327.82:2327.82:2327.82 2528.1:2528.1:2528.1 -IOPATH C[7] O[27] 2318.78:2318.78:2318.78 2483.24:2483.24:2483.24 -IOPATH C[7] O[28] 2249.54:2249.54:2249.54 2407.1:2407.1:2407.1 -IOPATH C[7] O[29] 2334.7:2334.7:2334.7 2495.23:2495.23:2495.23 -IOPATH C[7] O[30] 2401.69:2401.69:2401.69 2531.68:2531.68:2531.68 -IOPATH C[7] O[31] 2452.1:2452.1:2452.1 2542.22:2542.22:2542.22 -IOPATH C[8] ACCUMCO 1416.96:1416.96:1416.96 1632.52:1632.52:1632.52 -IOPATH C[8] CO 1989.36:1989.36:1989.36 2178.51:2178.51:2178.51 -IOPATH C[8] O[24] 1668.35:1668.35:1668.35 1816.04:1816.04:1816.04 -IOPATH C[8] O[25] 1805.04:1805.04:1805.04 1979.35:1979.35:1979.35 -IOPATH C[8] O[26] 1959.27:1959.27:1959.27 2159.56:2159.56:2159.56 -IOPATH C[8] O[27] 1950.24:1950.24:1950.24 2114.69:2114.69:2114.69 -IOPATH C[8] O[28] 2100.49:2100.49:2100.49 2258.05:2258.05:2258.05 -IOPATH C[8] O[29] 2185.64:2185.64:2185.64 2346.18:2346.18:2346.18 -IOPATH C[8] O[30] 2252.64:2252.64:2252.64 2382.63:2382.63:2382.63 -IOPATH C[8] O[31] 2303.05:2303.05:2303.05 2393.17:2393.17:2393.17 -IOPATH C[9] ACCUMCO 1413.27:1413.27:1413.27 1655.36:1655.36:1655.36 -IOPATH C[9] CO 1985.68:1985.68:1985.68 2201.35:2201.35:2201.35 -IOPATH C[9] O[25] 1663.42:1663.42:1663.42 1838.08:1838.08:1838.08 -IOPATH C[9] O[26] 1850.78:1850.78:1850.78 2043.25:2043.25:2043.25 -IOPATH C[9] O[27] 1833.93:1833.93:1833.93 1998.38:1998.38:1998.38 -IOPATH C[9] O[28] 2123.33:2123.33:2123.33 2280.88:2280.88:2280.88 -IOPATH C[9] O[29] 2208.48:2208.48:2208.48 2369.01:2369.01:2369.01 -IOPATH C[9] O[30] 2275.47:2275.47:2275.47 2405.46:2405.46:2405.46 -IOPATH C[9] O[31] 2325.88:2325.88:2325.88 2416:2416:2416 -IOPATH C[10] ACCUMCO 1439.36:1439.36:1439.36 1625.49:1625.49:1625.49 -IOPATH C[10] CO 2011.76:2011.76:2011.76 2171.47:2171.47:2171.47 -IOPATH C[10] O[26] 1745.33:1745.33:1745.33 1934.52:1934.52:1934.52 -IOPATH C[10] O[27] 1762.22:1762.22:1762.22 1914.07:1914.07:1914.07 -IOPATH C[10] O[28] 2084.01:2084.01:2084.01 2241.57:2241.57:2241.57 -IOPATH C[10] O[29] 2169.16:2169.16:2169.16 2329.7:2329.7:2329.7 -IOPATH C[10] O[30] 2236.16:2236.16:2236.16 2366.15:2366.15:2366.15 -IOPATH C[10] O[31] 2286.57:2286.57:2286.57 2376.69:2376.69:2376.69 -IOPATH C[11] ACCUMCO 1375.39:1375.39:1375.39 1569.95:1569.95:1569.95 -IOPATH C[11] CO 1947.8:1947.8:1947.8 2115.94:2115.94:2115.94 -IOPATH C[11] O[27] 1601.96:1601.96:1601.96 1764.23:1764.23:1764.23 -IOPATH C[11] O[28] 1996.83:1996.83:1996.83 2154.38:2154.38:2154.38 -IOPATH C[11] O[29] 2081.98:2081.98:2081.98 2242.52:2242.52:2242.52 -IOPATH C[11] O[30] 2148.98:2148.98:2148.98 2278.96:2278.96:2278.96 -IOPATH C[11] O[31] 2199.38:2199.38:2199.38 2289.5:2289.5:2289.5 -IOPATH C[12] ACCUMCO 1384.11:1384.11:1384.11 1542.03:1542.03:1542.03 -IOPATH C[12] CO 1956.51:1956.51:1956.51 2088.02:2088.02:2088.02 -IOPATH C[12] O[28] 1619.99:1619.99:1619.99 1773.03:1773.03:1773.03 -IOPATH C[12] O[29] 1728.76:1728.76:1728.76 1886:1886:1886 -IOPATH C[12] O[30] 1792.46:1792.46:1792.46 1922.44:1922.44:1922.44 -IOPATH C[12] O[31] 1842.86:1842.86:1842.86 1932.99:1932.99:1932.99 -IOPATH C[13] ACCUMCO 1451.99:1451.99:1451.99 1622.28:1622.28:1622.28 -IOPATH C[13] CO 2024.39:2024.39:2024.39 2168.27:2168.27:2168.27 -IOPATH C[13] O[29] 1659.3:1659.3:1659.3 1805.2:1805.2:1805.2 -IOPATH C[13] O[30] 1749.29:1749.29:1749.29 1866.4:1866.4:1866.4 -IOPATH C[13] O[31] 1786.82:1786.82:1786.82 1879.01:1879.01:1879.01 -IOPATH C[14] ACCUMCO 1417.99:1417.99:1417.99 1529.3:1529.3:1529.3 -IOPATH C[14] CO 1990.39:1990.39:1990.39 2075.29:2075.29:2075.29 -IOPATH C[14] O[30] 1590.67:1590.67:1590.67 1707.02:1707.02:1707.02 -IOPATH C[14] O[31] 1671.85:1671.85:1671.85 1756.05:1756.05:1756.05 -IOPATH C[15] ACCUMCO 1361.42:1361.42:1361.42 1473.03:1473.03:1473.03 -IOPATH C[15] CO 1933.83:1933.83:1933.83 2019.01:2019.01:2019.01 -IOPATH C[15] O[31] 1512.79:1512.79:1512.79 1605.4:1605.4:1605.4 -IOPATH CI ACCUMCO 1203.86:1203.86:1203.86 1274.67:1274.67:1274.67 -IOPATH CI CO 1776.26:1776.26:1776.26 1820.66:1820.66:1820.66 -IOPATH CI O[0] 1092.74:1092.74:1092.74 1210.39:1210.39:1210.39 -IOPATH CI O[1] 1151.6:1151.6:1151.6 1248.6:1248.6:1248.6 -IOPATH CI O[2] 1266.66:1266.66:1266.66 1391.98:1391.98:1391.98 -IOPATH CI O[3] 1363.02:1363.02:1363.02 1494.23:1494.23:1494.23 -IOPATH CI O[4] 1292.14:1292.14:1292.14 1418.99:1418.99:1418.99 -IOPATH CI O[5] 1416.3:1416.3:1416.3 1563.01:1563.01:1563.01 -IOPATH CI O[6] 1557.53:1557.53:1557.53 1714.98:1714.98:1714.98 -IOPATH CI O[7] 1615.6:1615.6:1615.6 1763.93:1763.93:1763.93 -IOPATH CI O[8] 1605.23:1605.23:1605.23 1785.55:1785.55:1785.55 -IOPATH CI O[9] 1734.92:1734.92:1734.92 1935.01:1935.01:1935.01 -IOPATH CI O[10] 1800.92:1800.92:1800.92 1980.98:1980.98:1980.98 -IOPATH CI O[11] 2027.33:2027.33:2027.33 2201.7:2201.7:2201.7 -IOPATH CI O[12] 1822.3:1822.3:1822.3 1975.67:1975.67:1975.67 -IOPATH CI O[13] 1934.35:1934.35:1934.35 2095.29:2095.29:2095.29 -IOPATH CI O[14] 2259.31:2259.31:2259.31 2438.48:2438.48:2438.48 -IOPATH CI O[15] 2170.14:2170.14:2170.14 2363.5:2363.5:2363.5 -IOPATH CI O[16] 1906.16:1906.16:1906.16 2142.02:2142.02:2142.02 -IOPATH CI O[17] 1993.34:1993.34:1993.34 2201.59:2201.59:2201.59 -IOPATH CI O[18] 2108.79:2108.79:2108.79 2343.75:2343.75:2343.75 -IOPATH CI O[19] 2276.81:2276.81:2276.81 2452.58:2452.58:2452.58 -IOPATH CI O[20] 2143.8:2143.8:2143.8 2304.63:2304.63:2304.63 -IOPATH CI O[21] 2069.53:2069.53:2069.53 2235.06:2235.06:2235.06 -IOPATH CI O[22] 2235.28:2235.28:2235.28 2441.22:2441.22:2441.22 -IOPATH CI O[23] 2295.41:2295.41:2295.41 2472.32:2472.32:2472.32 -IOPATH CI O[24] 2209.5:2209.5:2209.5 2366.92:2366.92:2366.92 -IOPATH CI O[25] 2322.48:2322.48:2322.48 2505.44:2505.44:2505.44 -IOPATH CI O[26] 2485.36:2485.36:2485.36 2685.65:2685.65:2685.65 -IOPATH CI O[27] 2476.33:2476.33:2476.33 2640.78:2640.78:2640.78 -IOPATH CI O[28] 2407.13:2407.13:2407.13 2564.69:2564.69:2564.69 -IOPATH CI O[29] 2492.29:2492.29:2492.29 2652.82:2652.82:2652.82 -IOPATH CI O[30] 2559.28:2559.28:2559.28 2689.27:2689.27:2689.27 -IOPATH CI O[31] 2609.69:2609.69:2609.69 2699.81:2699.81:2699.81 -IOPATH D[0] ACCUMCO 2039.92:2039.92:2039.92 2355.9:2355.9:2355.9 -IOPATH D[0] CO 2612.32:2612.32:2612.32 2901.89:2901.89:2901.89 -IOPATH D[0] O[0] 1569.52:1569.52:1569.52 1697.98:1697.98:1697.98 -IOPATH D[0] O[1] 1666.23:1666.23:1666.23 1771.27:1771.27:1771.27 -IOPATH D[0] O[2] 1789.34:1789.34:1789.34 1914.65:1914.65:1914.65 -IOPATH D[0] O[3] 1885.7:1885.7:1885.7 2016.9:2016.9:2016.9 -IOPATH D[0] O[4] 2020.43:2020.43:2020.43 2147.28:2147.28:2147.28 -IOPATH D[0] O[5] 2144.58:2144.58:2144.58 2291.29:2291.29:2291.29 -IOPATH D[0] O[6] 2285.82:2285.82:2285.82 2443.27:2443.27:2443.27 -IOPATH D[0] O[7] 2343.89:2343.89:2343.89 2492.21:2492.21:2492.21 -IOPATH D[0] O[8] 2333.49:2333.49:2333.49 2513.82:2513.82:2513.82 -IOPATH D[0] O[9] 2463.18:2463.18:2463.18 2663.27:2663.27:2663.27 -IOPATH D[0] O[10] 2529.19:2529.19:2529.19 2709.24:2709.24:2709.24 -IOPATH D[0] O[11] 2755.59:2755.59:2755.59 2929.97:2929.97:2929.97 -IOPATH D[0] O[12] 2550.56:2550.56:2550.56 2703.94:2703.94:2703.94 -IOPATH D[0] O[13] 2662.61:2662.61:2662.61 2823.55:2823.55:2823.55 -IOPATH D[0] O[14] 2987.57:2987.57:2987.57 3166.74:3166.74:3166.74 -IOPATH D[0] O[15] 2898.4:2898.4:2898.4 3091.77:3091.77:3091.77 -IOPATH D[0] O[16] 2929.84:2929.84:2929.84 3180.6:3180.6:3180.6 -IOPATH D[0] O[17] 3029.12:3029.12:3029.12 3252.86:3252.86:3252.86 -IOPATH D[0] O[18] 3160.11:3160.11:3160.11 3410.57:3410.57:3410.57 -IOPATH D[0] O[19] 3338.86:3338.86:3338.86 3529.8:3529.8:3529.8 -IOPATH D[0] O[20] 3214.68:3214.68:3214.68 3385.86:3385.86:3385.86 -IOPATH D[0] O[21] 3150.76:3150.76:3150.76 3316.29:3316.29:3316.29 -IOPATH D[0] O[22] 3316.51:3316.51:3316.51 3522.45:3522.45:3522.45 -IOPATH D[0] O[23] 3376.64:3376.64:3376.64 3553.55:3553.55:3553.55 -IOPATH D[0] O[24] 3290.72:3290.72:3290.72 3448.15:3448.15:3448.15 -IOPATH D[0] O[25] 3403.71:3403.71:3403.71 3586.67:3586.67:3586.67 -IOPATH D[0] O[26] 3566.59:3566.59:3566.59 3766.88:3766.88:3766.88 -IOPATH D[0] O[27] 3557.56:3557.56:3557.56 3722.01:3722.01:3722.01 -IOPATH D[0] O[28] 3488.36:3488.36:3488.36 3645.92:3645.92:3645.92 -IOPATH D[0] O[29] 3573.52:3573.52:3573.52 3734.05:3734.05:3734.05 -IOPATH D[0] O[30] 3640.51:3640.51:3640.51 3770.5:3770.5:3770.5 -IOPATH D[0] O[31] 3690.92:3690.92:3690.92 3781.04:3781.04:3781.04 -IOPATH D[1] ACCUMCO 2202.01:2202.01:2202.01 2418.31:2418.31:2418.31 -IOPATH D[1] CO 2774.42:2774.42:2774.42 2964.3:2964.3:2964.3 -IOPATH D[1] O[1] 1577.66:1577.66:1577.66 1677.46:1677.46:1677.46 -IOPATH D[1] O[2] 1727.25:1727.25:1727.25 1845.41:1845.41:1845.41 -IOPATH D[1] O[3] 1816.45:1816.45:1816.45 1947.65:1947.65:1947.65 -IOPATH D[1] O[4] 2082.85:2082.85:2082.85 2209.69:2209.69:2209.69 -IOPATH D[1] O[5] 2207:2207:2207 2353.71:2353.71:2353.71 -IOPATH D[1] O[6] 2348.23:2348.23:2348.23 2505.68:2505.68:2505.68 -IOPATH D[1] O[7] 2406.3:2406.3:2406.3 2554.63:2554.63:2554.63 -IOPATH D[1] O[8] 2395.91:2395.91:2395.91 2576.23:2576.23:2576.23 -IOPATH D[1] O[9] 2525.6:2525.6:2525.6 2725.69:2725.69:2725.69 -IOPATH D[1] O[10] 2591.6:2591.6:2591.6 2771.66:2771.66:2771.66 -IOPATH D[1] O[11] 2818.01:2818.01:2818.01 2992.38:2992.38:2992.38 -IOPATH D[1] O[12] 2612.98:2612.98:2612.98 2766.35:2766.35:2766.35 -IOPATH D[1] O[13] 2725.03:2725.03:2725.03 2885.97:2885.97:2885.97 -IOPATH D[1] O[14] 3049.99:3049.99:3049.99 3229.16:3229.16:3229.16 -IOPATH D[1] O[15] 2960.82:2960.82:2960.82 3154.18:3154.18:3154.18 -IOPATH D[1] O[16] 2992.25:2992.25:2992.25 3243.01:3243.01:3243.01 -IOPATH D[1] O[17] 3091.54:3091.54:3091.54 3315.27:3315.27:3315.27 -IOPATH D[1] O[18] 3222.53:3222.53:3222.53 3472.98:3472.98:3472.98 -IOPATH D[1] O[19] 3401.27:3401.27:3401.27 3592.22:3592.22:3592.22 -IOPATH D[1] O[20] 3277.09:3277.09:3277.09 3448.27:3448.27:3448.27 -IOPATH D[1] O[21] 3213.17:3213.17:3213.17 3378.7:3378.7:3378.7 -IOPATH D[1] O[22] 3378.92:3378.92:3378.92 3584.86:3584.86:3584.86 -IOPATH D[1] O[23] 3439.05:3439.05:3439.05 3615.96:3615.96:3615.96 -IOPATH D[1] O[24] 3353.14:3353.14:3353.14 3510.56:3510.56:3510.56 -IOPATH D[1] O[25] 3466.12:3466.12:3466.12 3649.08:3649.08:3649.08 -IOPATH D[1] O[26] 3629.01:3629.01:3629.01 3829.29:3829.29:3829.29 -IOPATH D[1] O[27] 3619.97:3619.97:3619.97 3784.42:3784.42:3784.42 -IOPATH D[1] O[28] 3550.77:3550.77:3550.77 3708.33:3708.33:3708.33 -IOPATH D[1] O[29] 3635.93:3635.93:3635.93 3796.46:3796.46:3796.46 -IOPATH D[1] O[30] 3702.92:3702.92:3702.92 3832.91:3832.91:3832.91 -IOPATH D[1] O[31] 3753.33:3753.33:3753.33 3843.45:3843.45:3843.45 -IOPATH D[2] ACCUMCO 2162.98:2162.98:2162.98 2336.7:2336.7:2336.7 -IOPATH D[2] CO 2735.39:2735.39:2735.39 2882.68:2882.68:2882.68 -IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2 -IOPATH D[2] O[3] 1699.35:1699.35:1699.35 1820.95:1820.95:1820.95 -IOPATH D[2] O[4] 2001.23:2001.23:2001.23 2128.07:2128.07:2128.07 -IOPATH D[2] O[5] 2125.38:2125.38:2125.38 2272.09:2272.09:2272.09 -IOPATH D[2] O[6] 2266.62:2266.62:2266.62 2424.07:2424.07:2424.07 -IOPATH D[2] O[7] 2324.69:2324.69:2324.69 2473.01:2473.01:2473.01 -IOPATH D[2] O[8] 2314.29:2314.29:2314.29 2494.62:2494.62:2494.62 -IOPATH D[2] O[9] 2443.98:2443.98:2443.98 2644.07:2644.07:2644.07 -IOPATH D[2] O[10] 2509.98:2509.98:2509.98 2690.04:2690.04:2690.04 -IOPATH D[2] O[11] 2736.39:2736.39:2736.39 2910.77:2910.77:2910.77 -IOPATH D[2] O[12] 2531.36:2531.36:2531.36 2684.74:2684.74:2684.74 -IOPATH D[2] O[13] 2643.41:2643.41:2643.41 2804.35:2804.35:2804.35 -IOPATH D[2] O[14] 2968.37:2968.37:2968.37 3147.54:3147.54:3147.54 -IOPATH D[2] O[15] 2879.2:2879.2:2879.2 3072.57:3072.57:3072.57 -IOPATH D[2] O[16] 2910.64:2910.64:2910.64 3161.39:3161.39:3161.39 -IOPATH D[2] O[17] 3009.92:3009.92:3009.92 3233.66:3233.66:3233.66 -IOPATH D[2] O[18] 3140.91:3140.91:3140.91 3391.36:3391.36:3391.36 -IOPATH D[2] O[19] 3319.65:3319.65:3319.65 3510.6:3510.6:3510.6 -IOPATH D[2] O[20] 3195.48:3195.48:3195.48 3366.65:3366.65:3366.65 -IOPATH D[2] O[21] 3131.56:3131.56:3131.56 3297.09:3297.09:3297.09 -IOPATH D[2] O[22] 3297.31:3297.31:3297.31 3503.25:3503.25:3503.25 -IOPATH D[2] O[23] 3357.43:3357.43:3357.43 3534.34:3534.34:3534.34 -IOPATH D[2] O[24] 3271.52:3271.52:3271.52 3428.95:3428.95:3428.95 -IOPATH D[2] O[25] 3384.5:3384.5:3384.5 3567.47:3567.47:3567.47 -IOPATH D[2] O[26] 3547.39:3547.39:3547.39 3747.68:3747.68:3747.68 -IOPATH D[2] O[27] 3538.35:3538.35:3538.35 3702.81:3702.81:3702.81 -IOPATH D[2] O[28] 3469.15:3469.15:3469.15 3626.71:3626.71:3626.71 -IOPATH D[2] O[29] 3554.31:3554.31:3554.31 3714.85:3714.85:3714.85 -IOPATH D[2] O[30] 3621.31:3621.31:3621.31 3751.29:3751.29:3751.29 -IOPATH D[2] O[31] 3671.71:3671.71:3671.71 3761.83:3761.83:3761.83 -IOPATH D[3] ACCUMCO 2089.97:2089.97:2089.97 2284.42:2284.42:2284.42 -IOPATH D[3] CO 2662.37:2662.37:2662.37 2830.4:2830.4:2830.4 -IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1690.72:1690.72:1690.72 -IOPATH D[3] O[4] 1949.81:1949.81:1949.81 2076.65:2076.65:2076.65 -IOPATH D[3] O[5] 2073.96:2073.96:2073.96 2220.67:2220.67:2220.67 -IOPATH D[3] O[6] 2215.2:2215.2:2215.2 2372.65:2372.65:2372.65 -IOPATH D[3] O[7] 2273.27:2273.27:2273.27 2421.59:2421.59:2421.59 -IOPATH D[3] O[8] 2262.87:2262.87:2262.87 2443.19:2443.19:2443.19 -IOPATH D[3] O[9] 2392.56:2392.56:2392.56 2592.65:2592.65:2592.65 -IOPATH D[3] O[10] 2458.56:2458.56:2458.56 2638.61:2638.61:2638.61 -IOPATH D[3] O[11] 2684.97:2684.97:2684.97 2859.34:2859.34:2859.34 -IOPATH D[3] O[12] 2479.94:2479.94:2479.94 2633.31:2633.31:2633.31 -IOPATH D[3] O[13] 2591.98:2591.98:2591.98 2752.93:2752.93:2752.93 -IOPATH D[3] O[14] 2916.95:2916.95:2916.95 3096.12:3096.12:3096.12 -IOPATH D[3] O[15] 2827.78:2827.78:2827.78 3021.14:3021.14:3021.14 -IOPATH D[3] O[16] 2858.36:2858.36:2858.36 3109.11:3109.11:3109.11 -IOPATH D[3] O[17] 2957.64:2957.64:2957.64 3181.38:3181.38:3181.38 -IOPATH D[3] O[18] 3088.63:3088.63:3088.63 3339.08:3339.08:3339.08 -IOPATH D[3] O[19] 3267.37:3267.37:3267.37 3458.32:3458.32:3458.32 -IOPATH D[3] O[20] 3143.2:3143.2:3143.2 3314.37:3314.37:3314.37 -IOPATH D[3] O[21] 3079.28:3079.28:3079.28 3244.81:3244.81:3244.81 -IOPATH D[3] O[22] 3245.03:3245.03:3245.03 3450.97:3450.97:3450.97 -IOPATH D[3] O[23] 3305.15:3305.15:3305.15 3482.07:3482.07:3482.07 -IOPATH D[3] O[24] 3219.24:3219.24:3219.24 3376.67:3376.67:3376.67 -IOPATH D[3] O[25] 3332.22:3332.22:3332.22 3515.19:3515.19:3515.19 -IOPATH D[3] O[26] 3495.11:3495.11:3495.11 3695.4:3695.4:3695.4 -IOPATH D[3] O[27] 3486.07:3486.07:3486.07 3650.53:3650.53:3650.53 -IOPATH D[3] O[28] 3416.87:3416.87:3416.87 3574.43:3574.43:3574.43 -IOPATH D[3] O[29] 3502.03:3502.03:3502.03 3662.57:3662.57:3662.57 -IOPATH D[3] O[30] 3569.03:3569.03:3569.03 3699.01:3699.01:3699.01 -IOPATH D[3] O[31] 3619.43:3619.43:3619.43 3709.55:3709.55:3709.55 -IOPATH D[4] ACCUMCO 2121.5:2121.5:2121.5 2388.24:2388.24:2388.24 -IOPATH D[4] CO 2693.91:2693.91:2693.91 2934.23:2934.23:2934.23 -IOPATH D[4] O[4] 1593.48:1593.48:1593.48 1716.48:1716.48:1716.48 -IOPATH D[4] O[5] 1740.38:1740.38:1740.38 1885.4:1885.4:1885.4 -IOPATH D[4] O[6] 1879.93:1879.93:1879.93 2037.38:2037.38:2037.38 -IOPATH D[4] O[7] 1938:1938:1938 2086.32:2086.32:2086.32 -IOPATH D[4] O[8] 2140.76:2140.76:2140.76 2321.07:2321.07:2321.07 -IOPATH D[4] O[9] 2270.43:2270.43:2270.43 2470.53:2470.53:2470.53 -IOPATH D[4] O[10] 2336.44:2336.44:2336.44 2516.49:2516.49:2516.49 -IOPATH D[4] O[11] 2562.85:2562.85:2562.85 2737.22:2737.22:2737.22 -IOPATH D[4] O[12] 2357.78:2357.78:2357.78 2511.15:2511.15:2511.15 -IOPATH D[4] O[13] 2469.83:2469.83:2469.83 2630.77:2630.77:2630.77 -IOPATH D[4] O[14] 2794.79:2794.79:2794.79 2973.96:2973.96:2973.96 -IOPATH D[4] O[15] 2705.62:2705.62:2705.62 2898.99:2898.99:2898.99 -IOPATH D[4] O[16] 2962.18:2962.18:2962.18 3212.94:3212.94:3212.94 -IOPATH D[4] O[17] 3061.47:3061.47:3061.47 3285.2:3285.2:3285.2 -IOPATH D[4] O[18] 3192.46:3192.46:3192.46 3442.91:3442.91:3442.91 -IOPATH D[4] O[19] 3371.2:3371.2:3371.2 3562.14:3562.14:3562.14 -IOPATH D[4] O[20] 3247.02:3247.02:3247.02 3418.2:3418.2:3418.2 -IOPATH D[4] O[21] 3183.1:3183.1:3183.1 3348.63:3348.63:3348.63 -IOPATH D[4] O[22] 3348.85:3348.85:3348.85 3554.79:3554.79:3554.79 -IOPATH D[4] O[23] 3408.98:3408.98:3408.98 3585.89:3585.89:3585.89 -IOPATH D[4] O[24] 3323.06:3323.06:3323.06 3480.49:3480.49:3480.49 -IOPATH D[4] O[25] 3436.05:3436.05:3436.05 3619.01:3619.01:3619.01 -IOPATH D[4] O[26] 3598.93:3598.93:3598.93 3799.22:3799.22:3799.22 -IOPATH D[4] O[27] 3589.9:3589.9:3589.9 3754.35:3754.35:3754.35 -IOPATH D[4] O[28] 3520.7:3520.7:3520.7 3678.26:3678.26:3678.26 -IOPATH D[4] O[29] 3605.86:3605.86:3605.86 3766.39:3766.39:3766.39 -IOPATH D[4] O[30] 3672.85:3672.85:3672.85 3802.84:3802.84:3802.84 -IOPATH D[4] O[31] 3723.26:3723.26:3723.26 3813.38:3813.38:3813.38 -IOPATH D[5] ACCUMCO 2183:2183:2183 2441.91:2441.91:2441.91 -IOPATH D[5] CO 2755.41:2755.41:2755.41 2987.89:2987.89:2987.89 -IOPATH D[5] O[5] 1644.75:1644.75:1644.75 1780.64:1780.64:1780.64 -IOPATH D[5] O[6] 1808.82:1808.82:1808.82 1957.12:1957.12:1957.12 -IOPATH D[5] O[7] 1857.32:1857.32:1857.32 2006.06:2006.06:2006.06 -IOPATH D[5] O[8] 2194.44:2194.44:2194.44 2374.76:2374.76:2374.76 -IOPATH D[5] O[9] 2324.12:2324.12:2324.12 2524.21:2524.21:2524.21 -IOPATH D[5] O[10] 2390.13:2390.13:2390.13 2570.18:2570.18:2570.18 -IOPATH D[5] O[11] 2616.53:2616.53:2616.53 2790.91:2790.91:2790.91 -IOPATH D[5] O[12] 2411.47:2411.47:2411.47 2564.84:2564.84:2564.84 -IOPATH D[5] O[13] 2523.52:2523.52:2523.52 2684.46:2684.46:2684.46 -IOPATH D[5] O[14] 2848.48:2848.48:2848.48 3027.65:3027.65:3027.65 -IOPATH D[5] O[15] 2759.31:2759.31:2759.31 2952.67:2952.67:2952.67 -IOPATH D[5] O[16] 3015.85:3015.85:3015.85 3266.6:3266.6:3266.6 -IOPATH D[5] O[17] 3115.13:3115.13:3115.13 3338.87:3338.87:3338.87 -IOPATH D[5] O[18] 3246.12:3246.12:3246.12 3496.57:3496.57:3496.57 -IOPATH D[5] O[19] 3424.86:3424.86:3424.86 3615.81:3615.81:3615.81 -IOPATH D[5] O[20] 3300.69:3300.69:3300.69 3471.86:3471.86:3471.86 -IOPATH D[5] O[21] 3236.76:3236.76:3236.76 3402.29:3402.29:3402.29 -IOPATH D[5] O[22] 3402.52:3402.52:3402.52 3608.46:3608.46:3608.46 -IOPATH D[5] O[23] 3462.64:3462.64:3462.64 3639.55:3639.55:3639.55 -IOPATH D[5] O[24] 3376.73:3376.73:3376.73 3534.16:3534.16:3534.16 -IOPATH D[5] O[25] 3489.71:3489.71:3489.71 3672.67:3672.67:3672.67 -IOPATH D[5] O[26] 3652.6:3652.6:3652.6 3852.88:3852.88:3852.88 -IOPATH D[5] O[27] 3643.56:3643.56:3643.56 3808.02:3808.02:3808.02 -IOPATH D[5] O[28] 3574.36:3574.36:3574.36 3731.92:3731.92:3731.92 -IOPATH D[5] O[29] 3659.52:3659.52:3659.52 3820.06:3820.06:3820.06 -IOPATH D[5] O[30] 3726.52:3726.52:3726.52 3856.5:3856.5:3856.5 -IOPATH D[5] O[31] 3776.92:3776.92:3776.92 3867.04:3867.04:3867.04 -IOPATH D[6] ACCUMCO 2120.12:2120.12:2120.12 2334.97:2334.97:2334.97 -IOPATH D[6] CO 2692.52:2692.52:2692.52 2880.96:2880.96:2880.96 -IOPATH D[6] O[6] 1641.59:1641.59:1641.59 1788.99:1788.99:1788.99 -IOPATH D[6] O[7] 1726.25:1726.25:1726.25 1862.41:1862.41:1862.41 -IOPATH D[6] O[8] 2087.51:2087.51:2087.51 2267.83:2267.83:2267.83 -IOPATH D[6] O[9] 2217.19:2217.19:2217.19 2417.28:2417.28:2417.28 -IOPATH D[6] O[10] 2283.2:2283.2:2283.2 2463.25:2463.25:2463.25 -IOPATH D[6] O[11] 2509.6:2509.6:2509.6 2683.98:2683.98:2683.98 -IOPATH D[6] O[12] 2304.54:2304.54:2304.54 2457.91:2457.91:2457.91 -IOPATH D[6] O[13] 2416.59:2416.59:2416.59 2577.53:2577.53:2577.53 -IOPATH D[6] O[14] 2741.55:2741.55:2741.55 2920.72:2920.72:2920.72 -IOPATH D[6] O[15] 2652.38:2652.38:2652.38 2845.74:2845.74:2845.74 -IOPATH D[6] O[16] 2908.91:2908.91:2908.91 3159.67:3159.67:3159.67 -IOPATH D[6] O[17] 3008.2:3008.2:3008.2 3231.93:3231.93:3231.93 -IOPATH D[6] O[18] 3139.19:3139.19:3139.19 3389.64:3389.64:3389.64 -IOPATH D[6] O[19] 3317.93:3317.93:3317.93 3508.87:3508.87:3508.87 -IOPATH D[6] O[20] 3193.75:3193.75:3193.75 3364.93:3364.93:3364.93 -IOPATH D[6] O[21] 3129.83:3129.83:3129.83 3295.36:3295.36:3295.36 -IOPATH D[6] O[22] 3295.58:3295.58:3295.58 3501.52:3501.52:3501.52 -IOPATH D[6] O[23] 3355.71:3355.71:3355.71 3532.62:3532.62:3532.62 -IOPATH D[6] O[24] 3269.8:3269.8:3269.8 3427.22:3427.22:3427.22 -IOPATH D[6] O[25] 3382.78:3382.78:3382.78 3565.74:3565.74:3565.74 -IOPATH D[6] O[26] 3545.66:3545.66:3545.66 3745.95:3745.95:3745.95 -IOPATH D[6] O[27] 3536.63:3536.63:3536.63 3701.09:3701.09:3701.09 -IOPATH D[6] O[28] 3467.43:3467.43:3467.43 3624.99:3624.99:3624.99 -IOPATH D[6] O[29] 3552.59:3552.59:3552.59 3713.12:3713.12:3713.12 -IOPATH D[6] O[30] 3619.58:3619.58:3619.58 3749.57:3749.57:3749.57 -IOPATH D[6] O[31] 3669.99:3669.99:3669.99 3760.11:3760.11:3760.11 -IOPATH D[7] ACCUMCO 2108.67:2108.67:2108.67 2306.64:2306.64:2306.64 -IOPATH D[7] CO 2681.07:2681.07:2681.07 2852.63:2852.63:2852.63 -IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54 -IOPATH D[7] O[8] 2066.58:2066.58:2066.58 2241.1:2241.1:2241.1 -IOPATH D[7] O[9] 2190.46:2190.46:2190.46 2390.56:2390.56:2390.56 -IOPATH D[7] O[10] 2256.47:2256.47:2256.47 2436.52:2436.52:2436.52 -IOPATH D[7] O[11] 2482.88:2482.88:2482.88 2657.25:2657.25:2657.25 -IOPATH D[7] O[12] 2277.8:2277.8:2277.8 2431.18:2431.18:2431.18 -IOPATH D[7] O[13] 2389.85:2389.85:2389.85 2550.79:2550.79:2550.79 -IOPATH D[7] O[14] 2714.82:2714.82:2714.82 2893.99:2893.99:2893.99 -IOPATH D[7] O[15] 2625.64:2625.64:2625.64 2819.01:2819.01:2819.01 -IOPATH D[7] O[16] 2880.59:2880.59:2880.59 3131.34:3131.34:3131.34 -IOPATH D[7] O[17] 2979.87:2979.87:2979.87 3203.61:3203.61:3203.61 -IOPATH D[7] O[18] 3110.86:3110.86:3110.86 3361.31:3361.31:3361.31 -IOPATH D[7] O[19] 3289.6:3289.6:3289.6 3480.55:3480.55:3480.55 -IOPATH D[7] O[20] 3165.43:3165.43:3165.43 3336.6:3336.6:3336.6 -IOPATH D[7] O[21] 3101.5:3101.5:3101.5 3267.03:3267.03:3267.03 -IOPATH D[7] O[22] 3267.26:3267.26:3267.26 3473.2:3473.2:3473.2 -IOPATH D[7] O[23] 3327.38:3327.38:3327.38 3504.29:3504.29:3504.29 -IOPATH D[7] O[24] 3241.47:3241.47:3241.47 3398.9:3398.9:3398.9 -IOPATH D[7] O[25] 3354.45:3354.45:3354.45 3537.41:3537.41:3537.41 -IOPATH D[7] O[26] 3517.34:3517.34:3517.34 3717.62:3717.62:3717.62 -IOPATH D[7] O[27] 3508.3:3508.3:3508.3 3672.76:3672.76:3672.76 -IOPATH D[7] O[28] 3439.1:3439.1:3439.1 3596.66:3596.66:3596.66 -IOPATH D[7] O[29] 3524.26:3524.26:3524.26 3684.8:3684.8:3684.8 -IOPATH D[7] O[30] 3591.26:3591.26:3591.26 3721.24:3721.24:3721.24 -IOPATH D[7] O[31] 3641.66:3641.66:3641.66 3731.78:3731.78:3731.78 -IOPATH D[8] ACCUMCO 2103.23:2103.23:2103.23 2237.68:2237.68:2237.68 -IOPATH D[8] CO 2675.63:2675.63:2675.63 2783.67:2783.67:2783.67 -IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38 -IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5 -IOPATH D[8] O[10] 1907.41:1907.41:1907.41 2087.47:2087.47:2087.47 -IOPATH D[8] O[11] 2133.82:2133.82:2133.82 2308.2:2308.2:2308.2 -IOPATH D[8] O[12] 2125.45:2125.45:2125.45 2278.72:2278.72:2278.72 -IOPATH D[8] O[13] 2237.41:2237.41:2237.41 2398.35:2398.35:2398.35 -IOPATH D[8] O[14] 2562.37:2562.37:2562.37 2741.54:2741.54:2741.54 -IOPATH D[8] O[15] 2473.2:2473.2:2473.2 2666.57:2666.57:2666.57 -IOPATH D[8] O[16] 2811.62:2811.62:2811.62 3062.38:3062.38:3062.38 -IOPATH D[8] O[17] 2910.91:2910.91:2910.91 3134.65:3134.65:3134.65 -IOPATH D[8] O[18] 3041.9:3041.9:3041.9 3292.35:3292.35:3292.35 -IOPATH D[8] O[19] 3220.64:3220.64:3220.64 3411.59:3411.59:3411.59 -IOPATH D[8] O[20] 3096.47:3096.47:3096.47 3267.64:3267.64:3267.64 -IOPATH D[8] O[21] 3032.54:3032.54:3032.54 3198.07:3198.07:3198.07 -IOPATH D[8] O[22] 3198.3:3198.3:3198.3 3404.23:3404.23:3404.23 -IOPATH D[8] O[23] 3258.42:3258.42:3258.42 3435.33:3435.33:3435.33 -IOPATH D[8] O[24] 3172.51:3172.51:3172.51 3329.94:3329.94:3329.94 -IOPATH D[8] O[25] 3285.49:3285.49:3285.49 3468.45:3468.45:3468.45 -IOPATH D[8] O[26] 3448.38:3448.38:3448.38 3648.66:3648.66:3648.66 -IOPATH D[8] O[27] 3439.34:3439.34:3439.34 3603.8:3603.8:3603.8 -IOPATH D[8] O[28] 3370.14:3370.14:3370.14 3527.7:3527.7:3527.7 -IOPATH D[8] O[29] 3455.3:3455.3:3455.3 3615.84:3615.84:3615.84 -IOPATH D[8] O[30] 3522.3:3522.3:3522.3 3652.28:3652.28:3652.28 -IOPATH D[8] O[31] 3572.7:3572.7:3572.7 3662.82:3662.82:3662.82 -IOPATH D[9] ACCUMCO 2120.66:2120.66:2120.66 2272.18:2272.18:2272.18 -IOPATH D[9] CO 2693.06:2693.06:2693.06 2818.17:2818.17:2818.17 -IOPATH D[9] O[9] 1729.76:1729.76:1729.76 1921.35:1921.35:1921.35 -IOPATH D[9] O[10] 1819.09:1819.09:1819.09 1991.92:1991.92:1991.92 -IOPATH D[9] O[11] 2038.27:2038.27:2038.27 2212.65:2212.65:2212.65 -IOPATH D[9] O[12] 2159.94:2159.94:2159.94 2313.22:2313.22:2313.22 -IOPATH D[9] O[13] 2271.9:2271.9:2271.9 2432.84:2432.84:2432.84 -IOPATH D[9] O[14] 2596.86:2596.86:2596.86 2776.04:2776.04:2776.04 -IOPATH D[9] O[15] 2507.69:2507.69:2507.69 2701.06:2701.06:2701.06 -IOPATH D[9] O[16] 2846.12:2846.12:2846.12 3096.88:3096.88:3096.88 -IOPATH D[9] O[17] 2945.4:2945.4:2945.4 3169.14:3169.14:3169.14 -IOPATH D[9] O[18] 3076.39:3076.39:3076.39 3326.85:3326.85:3326.85 -IOPATH D[9] O[19] 3255.14:3255.14:3255.14 3446.09:3446.09:3446.09 -IOPATH D[9] O[20] 3130.96:3130.96:3130.96 3302.14:3302.14:3302.14 -IOPATH D[9] O[21] 3067.04:3067.04:3067.04 3232.57:3232.57:3232.57 -IOPATH D[9] O[22] 3232.79:3232.79:3232.79 3438.73:3438.73:3438.73 -IOPATH D[9] O[23] 3292.92:3292.92:3292.92 3469.83:3469.83:3469.83 -IOPATH D[9] O[24] 3207:3207:3207 3364.43:3364.43:3364.43 -IOPATH D[9] O[25] 3319.99:3319.99:3319.99 3502.95:3502.95:3502.95 -IOPATH D[9] O[26] 3482.87:3482.87:3482.87 3683.16:3683.16:3683.16 -IOPATH D[9] O[27] 3473.84:3473.84:3473.84 3638.29:3638.29:3638.29 -IOPATH D[9] O[28] 3404.64:3404.64:3404.64 3562.2:3562.2:3562.2 -IOPATH D[9] O[29] 3489.8:3489.8:3489.8 3650.33:3650.33:3650.33 -IOPATH D[9] O[30] 3556.79:3556.79:3556.79 3686.78:3686.78:3686.78 -IOPATH D[9] O[31] 3607.2:3607.2:3607.2 3697.32:3697.32:3697.32 -IOPATH D[10] ACCUMCO 2104.36:2104.36:2104.36 2216.31:2216.31:2216.31 -IOPATH D[10] CO 2676.76:2676.76:2676.76 2762.3:2762.3:2762.3 -IOPATH D[10] O[10] 1676.68:1676.68:1676.68 1845.21:1845.21:1845.21 -IOPATH D[10] O[11] 1927.47:1927.47:1927.47 2090.56:2090.56:2090.56 -IOPATH D[10] O[12] 2077.19:2077.19:2077.19 2230.46:2230.46:2230.46 -IOPATH D[10] O[13] 2189.15:2189.15:2189.15 2350.09:2350.09:2350.09 -IOPATH D[10] O[14] 2514.11:2514.11:2514.11 2693.28:2693.28:2693.28 -IOPATH D[10] O[15] 2424.94:2424.94:2424.94 2618.31:2618.31:2618.31 -IOPATH D[10] O[16] 2806.67:2806.67:2806.67 3042.53:3042.53:3042.53 -IOPATH D[10] O[17] 2893.85:2893.85:2893.85 3113.27:3113.27:3113.27 -IOPATH D[10] O[18] 3020.53:3020.53:3020.53 3270.98:3270.98:3270.98 -IOPATH D[10] O[19] 3199.27:3199.27:3199.27 3390.22:3390.22:3390.22 -IOPATH D[10] O[20] 3075.09:3075.09:3075.09 3246.27:3246.27:3246.27 -IOPATH D[10] O[21] 3011.17:3011.17:3011.17 3176.7:3176.7:3176.7 -IOPATH D[10] O[22] 3176.92:3176.92:3176.92 3382.86:3382.86:3382.86 -IOPATH D[10] O[23] 3237.05:3237.05:3237.05 3413.96:3413.96:3413.96 -IOPATH D[10] O[24] 3151.14:3151.14:3151.14 3308.57:3308.57:3308.57 -IOPATH D[10] O[25] 3264.12:3264.12:3264.12 3447.08:3447.08:3447.08 -IOPATH D[10] O[26] 3427.01:3427.01:3427.01 3627.29:3627.29:3627.29 -IOPATH D[10] O[27] 3417.97:3417.97:3417.97 3582.43:3582.43:3582.43 -IOPATH D[10] O[28] 3348.77:3348.77:3348.77 3506.33:3506.33:3506.33 -IOPATH D[10] O[29] 3433.93:3433.93:3433.93 3594.46:3594.46:3594.46 -IOPATH D[10] O[30] 3500.92:3500.92:3500.92 3630.91:3630.91:3630.91 -IOPATH D[10] O[31] 3551.33:3551.33:3551.33 3641.45:3641.45:3641.45 -IOPATH D[11] ACCUMCO 2070.71:2070.71:2070.71 2191.58:2191.58:2191.58 -IOPATH D[11] CO 2643.11:2643.11:2643.11 2737.57:2737.57:2737.57 -IOPATH D[11] O[11] 1794.33:1794.33:1794.33 1966.76:1966.76:1966.76 -IOPATH D[11] O[12] 2032:2032:2032 2185.26:2185.26:2185.26 -IOPATH D[11] O[13] 2143.94:2143.94:2143.94 2304.88:2304.88:2304.88 -IOPATH D[11] O[14] 2468.9:2468.9:2468.9 2648.08:2648.08:2648.08 -IOPATH D[11] O[15] 2379.73:2379.73:2379.73 2573.1:2573.1:2573.1 -IOPATH D[11] O[16] 2773.02:2773.02:2773.02 3016.28:3016.28:3016.28 -IOPATH D[11] O[17] 2864.8:2864.8:2864.8 3088.54:3088.54:3088.54 -IOPATH D[11] O[18] 2995.79:2995.79:2995.79 3246.25:3246.25:3246.25 -IOPATH D[11] O[19] 3174.54:3174.54:3174.54 3365.48:3365.48:3365.48 -IOPATH D[11] O[20] 3050.36:3050.36:3050.36 3221.54:3221.54:3221.54 -IOPATH D[11] O[21] 2986.44:2986.44:2986.44 3151.97:3151.97:3151.97 -IOPATH D[11] O[22] 3152.19:3152.19:3152.19 3358.13:3358.13:3358.13 -IOPATH D[11] O[23] 3212.32:3212.32:3212.32 3389.23:3389.23:3389.23 -IOPATH D[11] O[24] 3126.4:3126.4:3126.4 3283.83:3283.83:3283.83 -IOPATH D[11] O[25] 3239.39:3239.39:3239.39 3422.35:3422.35:3422.35 -IOPATH D[11] O[26] 3402.27:3402.27:3402.27 3602.56:3602.56:3602.56 -IOPATH D[11] O[27] 3393.24:3393.24:3393.24 3557.69:3557.69:3557.69 -IOPATH D[11] O[28] 3324.04:3324.04:3324.04 3481.59:3481.59:3481.59 -IOPATH D[11] O[29] 3409.2:3409.2:3409.2 3569.73:3569.73:3569.73 -IOPATH D[11] O[30] 3476.19:3476.19:3476.19 3606.18:3606.18:3606.18 -IOPATH D[11] O[31] 3526.6:3526.6:3526.6 3616.72:3616.72:3616.72 -IOPATH D[12] ACCUMCO 2085.75:2085.75:2085.75 2237.18:2237.18:2237.18 -IOPATH D[12] CO 2658.16:2658.16:2658.16 2783.16:2783.16:2783.16 -IOPATH D[12] O[12] 1672.29:1672.29:1672.29 1815.52:1815.52:1815.52 -IOPATH D[12] O[13] 1807.43:1807.43:1807.43 1959.55:1959.55:1959.55 -IOPATH D[12] O[14] 2123.57:2123.57:2123.57 2302.74:2302.74:2302.74 -IOPATH D[12] O[15] 2034.4:2034.4:2034.4 2227.77:2227.77:2227.77 -IOPATH D[12] O[16] 2811.12:2811.12:2811.12 3061.88:3061.88:3061.88 -IOPATH D[12] O[17] 2910.4:2910.4:2910.4 3134.14:3134.14:3134.14 -IOPATH D[12] O[18] 3041.39:3041.39:3041.39 3291.84:3291.84:3291.84 -IOPATH D[12] O[19] 3220.13:3220.13:3220.13 3411.08:3411.08:3411.08 -IOPATH D[12] O[20] 3095.96:3095.96:3095.96 3267.13:3267.13:3267.13 -IOPATH D[12] O[21] 3032.04:3032.04:3032.04 3197.57:3197.57:3197.57 -IOPATH D[12] O[22] 3197.79:3197.79:3197.79 3403.73:3403.73:3403.73 -IOPATH D[12] O[23] 3257.91:3257.91:3257.91 3434.82:3434.82:3434.82 -IOPATH D[12] O[24] 3172:3172:3172 3329.43:3329.43:3329.43 -IOPATH D[12] O[25] 3284.98:3284.98:3284.98 3467.95:3467.95:3467.95 -IOPATH D[12] O[26] 3447.87:3447.87:3447.87 3648.15:3648.15:3648.15 -IOPATH D[12] O[27] 3438.83:3438.83:3438.83 3603.29:3603.29:3603.29 -IOPATH D[12] O[28] 3369.63:3369.63:3369.63 3527.19:3527.19:3527.19 -IOPATH D[12] O[29] 3454.79:3454.79:3454.79 3615.33:3615.33:3615.33 -IOPATH D[12] O[30] 3521.79:3521.79:3521.79 3651.78:3651.78:3651.78 -IOPATH D[12] O[31] 3572.19:3572.19:3572.19 3662.32:3662.32:3662.32 -IOPATH D[13] ACCUMCO 2108.93:2108.93:2108.93 2287.07:2287.07:2287.07 -IOPATH D[13] CO 2681.34:2681.34:2681.34 2833.06:2833.06:2833.06 -IOPATH D[13] O[13] 1691.06:1691.06:1691.06 1837.69:1837.69:1837.69 -IOPATH D[13] O[14] 2035.69:2035.69:2035.69 2204.68:2204.68:2204.68 -IOPATH D[13] O[15] 1936.33:1936.33:1936.33 2129.7:2129.7:2129.7 -IOPATH D[13] O[16] 2861.01:2861.01:2861.01 3111.77:3111.77:3111.77 -IOPATH D[13] O[17] 2960.3:2960.3:2960.3 3184.04:3184.04:3184.04 -IOPATH D[13] O[18] 3091.29:3091.29:3091.29 3341.74:3341.74:3341.74 -IOPATH D[13] O[19] 3270.03:3270.03:3270.03 3460.98:3460.98:3460.98 -IOPATH D[13] O[20] 3145.86:3145.86:3145.86 3317.03:3317.03:3317.03 -IOPATH D[13] O[21] 3081.93:3081.93:3081.93 3247.46:3247.46:3247.46 -IOPATH D[13] O[22] 3247.69:3247.69:3247.69 3453.62:3453.62:3453.62 -IOPATH D[13] O[23] 3307.81:3307.81:3307.81 3484.72:3484.72:3484.72 -IOPATH D[13] O[24] 3221.9:3221.9:3221.9 3379.33:3379.33:3379.33 -IOPATH D[13] O[25] 3334.88:3334.88:3334.88 3517.84:3517.84:3517.84 -IOPATH D[13] O[26] 3497.77:3497.77:3497.77 3698.05:3698.05:3698.05 -IOPATH D[13] O[27] 3488.73:3488.73:3488.73 3653.19:3653.19:3653.19 -IOPATH D[13] O[28] 3419.53:3419.53:3419.53 3577.09:3577.09:3577.09 -IOPATH D[13] O[29] 3504.69:3504.69:3504.69 3665.22:3665.22:3665.22 -IOPATH D[13] O[30] 3571.68:3571.68:3571.68 3701.67:3701.67:3701.67 -IOPATH D[13] O[31] 3622.09:3622.09:3622.09 3712.21:3712.21:3712.21 -IOPATH D[14] ACCUMCO 2094.53:2094.53:2094.53 2207:2207:2207 -IOPATH D[14] CO 2666.93:2666.93:2666.93 2752.99:2752.99:2752.99 -IOPATH D[14] O[14] 1885.41:1885.41:1885.41 2050.52:2050.52:2050.52 -IOPATH D[14] O[15] 1818.91:1818.91:1818.91 1999.97:1999.97:1999.97 -IOPATH D[14] O[16] 2796.83:2796.83:2796.83 3032.69:3032.69:3032.69 -IOPATH D[14] O[17] 2884.01:2884.01:2884.01 3103.96:3103.96:3103.96 -IOPATH D[14] O[18] 3011.22:3011.22:3011.22 3261.67:3261.67:3261.67 -IOPATH D[14] O[19] 3189.96:3189.96:3189.96 3380.91:3380.91:3380.91 -IOPATH D[14] O[20] 3065.78:3065.78:3065.78 3236.96:3236.96:3236.96 -IOPATH D[14] O[21] 3001.86:3001.86:3001.86 3167.39:3167.39:3167.39 -IOPATH D[14] O[22] 3167.61:3167.61:3167.61 3373.55:3373.55:3373.55 -IOPATH D[14] O[23] 3227.74:3227.74:3227.74 3404.65:3404.65:3404.65 -IOPATH D[14] O[24] 3141.82:3141.82:3141.82 3299.25:3299.25:3299.25 -IOPATH D[14] O[25] 3254.81:3254.81:3254.81 3437.77:3437.77:3437.77 -IOPATH D[14] O[26] 3417.69:3417.69:3417.69 3617.98:3617.98:3617.98 -IOPATH D[14] O[27] 3408.66:3408.66:3408.66 3573.11:3573.11:3573.11 -IOPATH D[14] O[28] 3339.46:3339.46:3339.46 3497.02:3497.02:3497.02 -IOPATH D[14] O[29] 3424.62:3424.62:3424.62 3585.15:3585.15:3585.15 -IOPATH D[14] O[30] 3491.61:3491.61:3491.61 3621.6:3621.6:3621.6 -IOPATH D[14] O[31] 3542.02:3542.02:3542.02 3632.14:3632.14:3632.14 -IOPATH D[15] ACCUMCO 2085.15:2085.15:2085.15 2170.79:2170.79:2170.79 -IOPATH D[15] CO 2657.55:2657.55:2657.55 2716.78:2716.78:2716.78 -IOPATH D[15] O[15] 1716.3:1716.3:1716.3 1907.17:1907.17:1907.17 -IOPATH D[15] O[16] 2787.45:2787.45:2787.45 3023.31:3023.31:3023.31 -IOPATH D[15] O[17] 2874.63:2874.63:2874.63 3082.88:3082.88:3082.88 -IOPATH D[15] O[18] 2990.08:2990.08:2990.08 3225.45:3225.45:3225.45 -IOPATH D[15] O[19] 3158.1:3158.1:3158.1 3344.69:3344.69:3344.69 -IOPATH D[15] O[20] 3029.57:3029.57:3029.57 3200.74:3200.74:3200.74 -IOPATH D[15] O[21] 2965.65:2965.65:2965.65 3131.18:3131.18:3131.18 -IOPATH D[15] O[22] 3131.4:3131.4:3131.4 3337.34:3337.34:3337.34 -IOPATH D[15] O[23] 3191.53:3191.53:3191.53 3368.44:3368.44:3368.44 -IOPATH D[15] O[24] 3105.61:3105.61:3105.61 3263.04:3263.04:3263.04 -IOPATH D[15] O[25] 3218.6:3218.6:3218.6 3401.56:3401.56:3401.56 -IOPATH D[15] O[26] 3381.48:3381.48:3381.48 3581.77:3581.77:3581.77 -IOPATH D[15] O[27] 3372.45:3372.45:3372.45 3536.9:3536.9:3536.9 -IOPATH D[15] O[28] 3303.25:3303.25:3303.25 3460.81:3460.81:3460.81 -IOPATH D[15] O[29] 3388.4:3388.4:3388.4 3548.94:3548.94:3548.94 -IOPATH D[15] O[30] 3455.4:3455.4:3455.4 3585.39:3585.39:3585.39 -IOPATH D[15] O[31] 3505.81:3505.81:3505.81 3595.93:3595.93:3595.93 -IOPATH OLOADBOT O[0] 930.106:930.106:930.106 1000.14:1000.14:1000.14 -IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426 -IOPATH OLOADBOT O[2] 928.829:928.829:928.829 1027.86:1027.86:1027.86 -IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563 -IOPATH OLOADBOT O[4] 929.142:929.142:929.142 994.617:994.617:994.617 -IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445 -IOPATH OLOADBOT O[6] 984.111:984.111:984.111 1116.85:1116.85:1116.85 -IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956 -IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2 -IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46 -IOPATH OLOADBOT O[10] 1004.49:1004.49:1004.49 1120.52:1120.52:1120.52 -IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72 -IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66 -IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42 -IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74 -IOPATH OLOADBOT O[15] 1025.74:1025.74:1025.74 1189.82:1189.82:1189.82 -IOPATH OLOADTOP O[16] 1123.39:1123.39:1123.39 1301.49:1301.49:1301.49 -IOPATH OLOADTOP O[17] 1061.51:1061.51:1061.51 1311.62:1311.62:1311.62 -IOPATH OLOADTOP O[18] 960.736:960.736:960.736 1195.65:1195.65:1195.65 -IOPATH OLOADTOP O[19] 1075.24:1075.24:1075.24 1220.06:1220.06:1220.06 -IOPATH OLOADTOP O[20] 998.828:998.828:998.828 1123.23:1123.23:1123.23 -IOPATH OLOADTOP O[21] 947.354:947.354:947.354 1139.75:1139.75:1139.75 -IOPATH OLOADTOP O[22] 1067.04:1067.04:1067.04 1200.08:1200.08:1200.08 -IOPATH OLOADTOP O[23] 983.054:983.054:983.054 1189.04:1189.04:1189.04 -IOPATH OLOADTOP O[24] 815.867:815.867:815.867 962.766:962.766:962.766 -IOPATH OLOADTOP O[25] 1029.87:1029.87:1029.87 1181.83:1181.83:1181.83 -IOPATH OLOADTOP O[26] 1035.45:1035.45:1035.45 1263.44:1263.44:1263.44 -IOPATH OLOADTOP O[27] 997.557:997.557:997.557 1090.52:1090.52:1090.52 -IOPATH OLOADTOP O[28] 923.324:923.324:923.324 1109.8:1109.8:1109.8 -IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 -IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 -IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 +IOPATH A[0] ACCUMCO 724.607:1266.91:1951.92 947.611:1656.81:2552.64 +IOPATH A[0] CO 1006.04:1758.98:2710.04 1216.06:2126.17:3275.77 +IOPATH A[0] O[16] 921.176:1610.6:2481.43 1037.14:1813.36:2793.82 +IOPATH A[0] O[17] 982.523:1717.85:2646.68 1084.91:1896.87:2922.49 +IOPATH A[0] O[18] 1039.32:1817.16:2799.68 1154.85:2019.15:3110.87 +IOPATH A[0] O[19] 1121.93:1961.6:3022.22 1209.97:2115.52:3259.36 +IOPATH A[0] O[20] 1189.94:2080.51:3205.41 1274.1:2227.65:3432.12 +IOPATH A[0] O[21] 1158.51:2025.55:3120.74 1239.89:2167.85:3339.97 +IOPATH A[0] O[22] 1240:2168.04:3340.27 1341.26:2345.07:3613.02 +IOPATH A[0] O[23] 1269.57:2219.72:3419.9 1356.55:2371.8:3654.21 +IOPATH A[0] O[24] 1227.31:2145.84:3306.07 1304.71:2281.17:3514.58 +IOPATH A[0] O[25] 1282.86:2242.96:3455.71 1372.82:2400.25:3698.03 +IOPATH A[0] O[26] 1362.94:2382.99:3671.44 1461.42:2555.17:3936.71 +IOPATH A[0] O[27] 1358.5:2375.22:3659.48 1439.36:2516.6:3877.29 +IOPATH A[0] O[28] 1324.48:2315.73:3567.82 1401.95:2451.18:3776.5 +IOPATH A[0] O[29] 1366.35:2388.94:3680.61 1445.28:2526.94:3893.23 +IOPATH A[0] O[30] 1399.29:2446.53:3769.35 1463.2:2558.28:3941.51 +IOPATH A[0] O[31] 1424.07:2489.86:3836.11 1468.38:2567.34:3955.47 +IOPATH A[1] ACCUMCO 841.614:1471.49:2267.1 975.927:1706.32:2628.91 +IOPATH A[1] CO 1123.05:1963.56:3025.23 1244.38:2175.68:3352.05 +IOPATH A[1] O[17] 958.27:1675.45:2581.35 1060.66:1854.47:2857.16 +IOPATH A[1] O[18] 1032.83:1805.81:2782.19 1148.35:2007.79:3093.38 +IOPATH A[1] O[19] 1115.44:1950.25:3004.73 1201.86:2101.35:3237.53 +IOPATH A[1] O[20] 1218.26:2130.02:3281.69 1302.41:2277.16:3508.39 +IOPATH A[1] O[21] 1186.82:2075.06:3197.01 1268.21:2217.35:3416.25 +IOPATH A[1] O[22] 1268.32:2217.54:3416.55 1369.57:2394.58:3689.3 +IOPATH A[1] O[23] 1297.88:2269.23:3496.18 1384.86:2421.31:3730.49 +IOPATH A[1] O[24] 1255.62:2195.35:3382.35 1333.03:2330.68:3590.85 +IOPATH A[1] O[25] 1311.17:2292.47:3531.99 1401.13:2449.76:3774.31 +IOPATH A[1] O[26] 1391.26:2432.5:3747.72 1489.74:2604.67:4012.99 +IOPATH A[1] O[27] 1386.82:2424.73:3735.76 1467.68:2566.1:3953.57 +IOPATH A[1] O[28] 1352.79:2365.24:3644.1 1430.26:2500.69:3852.78 +IOPATH A[1] O[29] 1394.66:2438.45:3756.89 1473.6:2576.45:3969.51 +IOPATH A[1] O[30] 1427.6:2496.04:3845.62 1491.52:2607.79:4017.78 +IOPATH A[1] O[31] 1452.39:2539.37:3912.38 1496.7:2616.85:4031.74 +IOPATH A[2] ACCUMCO 813.588:1422.49:2191.61 917.696:1604.51:2472.05 +IOPATH A[2] CO 1095.02:1914.55:2949.73 1186.14:2073.87:3195.19 +IOPATH A[2] O[18] 950.159:1661.27:2559.5 1065.68:1863.25:2870.69 +IOPATH A[2] O[19] 1049.96:1835.76:2828.33 1136.38:1986.86:3061.14 +IOPATH A[2] O[20] 1165.91:2038.5:3140.69 1244.18:2175.35:3351.53 +IOPATH A[2] O[21] 1128.53:1973.14:3040 1209.98:2115.54:3259.39 +IOPATH A[2] O[22] 1210.09:2115.73:3259.69 1311.34:2292.77:3532.44 +IOPATH A[2] O[23] 1239.65:2167.42:3339.32 1326.63:2319.5:3573.63 +IOPATH A[2] O[24] 1197.39:2093.53:3225.48 1274.79:2228.87:3433.99 +IOPATH A[2] O[25] 1252.94:2190.66:3375.13 1342.9:2347.94:3617.45 +IOPATH A[2] O[26] 1333.03:2330.69:3590.86 1431.5:2502.86:3856.13 +IOPATH A[2] O[27] 1328.59:2322.92:3578.89 1409.44:2464.29:3796.71 +IOPATH A[2] O[28] 1294.56:2263.43:3487.24 1372.03:2398.88:3695.92 +IOPATH A[2] O[29] 1336.43:2336.64:3600.03 1415.36:2474.64:3812.65 +IOPATH A[2] O[30] 1369.37:2394.23:3688.76 1433.28:2505.97:3860.92 +IOPATH A[2] O[31] 1394.16:2437.56:3755.52 1438.47:2515.03:3874.88 +IOPATH A[3] ACCUMCO 769.516:1345.43:2072.89 881.556:1541.32:2374.7 +IOPATH A[3] CO 1050.95:1837.5:2831.01 1150:2010.68:3097.83 +IOPATH A[3] O[19] 976.32:1707.01:2629.97 1062.74:1858.11:2862.77 +IOPATH A[3] O[20] 1124.18:1965.53:3028.27 1208.34:2112.67:3254.97 +IOPATH A[3] O[21] 1092.75:1910.57:2943.59 1174.13:2052.87:3162.83 +IOPATH A[3] O[22] 1174.24:2053.06:3163.13 1275.5:2230.09:3435.88 +IOPATH A[3] O[23] 1203.8:2104.75:3242.76 1290.79:2256.83:3477.07 +IOPATH A[3] O[24] 1161.54:2030.86:3128.92 1238.95:2166.19:3337.43 +IOPATH A[3] O[25] 1217.1:2127.99:3278.56 1307.05:2285.27:3520.89 +IOPATH A[3] O[26] 1297.18:2268.01:3494.3 1395.66:2440.19:3759.57 +IOPATH A[3] O[27] 1292.74:2260.24:3482.33 1373.6:2401.62:3700.14 +IOPATH A[3] O[28] 1258.72:2200.75:3390.68 1336.18:2336.2:3599.36 +IOPATH A[3] O[29] 1300.59:2273.96:3503.47 1379.52:2411.97:3716.09 +IOPATH A[3] O[30] 1333.53:2331.55:3592.2 1397.44:2443.3:3764.36 +IOPATH A[3] O[31] 1358.31:2374.89:3658.96 1402.62:2452.36:3778.32 +IOPATH A[4] ACCUMCO 784.906:1372.34:2114.35 978.783:1711.32:2636.61 +IOPATH A[4] CO 1066.34:1864.41:2872.47 1247.23:2180.67:3359.74 +IOPATH A[4] O[20] 970.679:1697.15:2614.78 1047.01:1830.6:2820.38 +IOPATH A[4] O[21] 951.729:1664.02:2563.73 1025.23:1792.53:2761.73 +IOPATH A[4] O[22] 1026.46:1794.68:2765.04 1120.35:1958.84:3017.96 +IOPATH A[4] O[23] 1050.36:1836.47:2829.42 1130.28:1976.2:3044.71 +IOPATH A[4] O[24] 1145.3:2002.47:3085.17 1222.71:2137.79:3293.67 +IOPATH A[4] O[25] 1200.85:2099.58:3234.8 1290.81:2256.87:3477.13 +IOPATH A[4] O[26] 1280.94:2239.61:3450.54 1379.41:2411.78:3715.81 +IOPATH A[4] O[27] 1276.5:2231.84:3438.57 1357.35:2373.22:3656.39 +IOPATH A[4] O[28] 1242.45:2172.32:3346.87 1319.92:2307.77:3555.55 +IOPATH A[4] O[29] 1284.32:2245.53:3459.66 1363.26:2383.53:3672.28 +IOPATH A[4] O[30] 1317.26:2303.12:3548.39 1381.18:2414.87:3720.55 +IOPATH A[4] O[31] 1342.05:2346.45:3615.15 1386.36:2423.93:3734.51 +IOPATH A[5] ACCUMCO 753.632:1317.66:2030.1 898.161:1570.36:2419.43 +IOPATH A[5] CO 1035.07:1809.73:2788.22 1166.61:2039.71:3142.56 +IOPATH A[5] O[21] 839.269:1467.39:2260.79 912.774:1595.91:2458.79 +IOPATH A[5] O[22] 931.749:1629.08:2509.91 1025.64:1793.24:2762.83 +IOPATH A[5] O[23] 955.649:1670.87:2574.29 1035.57:1810.6:2789.58 +IOPATH A[5] O[24] 1064.69:1861.51:2868.01 1142.09:1996.84:3076.5 +IOPATH A[5] O[25] 1120.23:1958.63:3017.63 1210.19:2115.91:3259.96 +IOPATH A[5] O[26] 1200.32:2098.65:3233.37 1298.79:2270.83:3498.64 +IOPATH A[5] O[27] 1195.88:2090.89:3221.4 1276.73:2232.26:3439.21 +IOPATH A[5] O[28] 1161.83:2031.37:3129.7 1239.3:2166.81:3338.38 +IOPATH A[5] O[29] 1203.71:2104.57:3242.49 1282.64:2242.58:3455.11 +IOPATH A[5] O[30] 1236.65:2162.17:3331.22 1300.56:2273.91:3503.38 +IOPATH A[5] O[31] 1261.43:2205.5:3397.98 1305.74:2282.97:3517.35 +IOPATH A[6] ACCUMCO 824.218:1441.07:2220.25 948.181:1657.81:2554.17 +IOPATH A[6] CO 1105.65:1933.14:2978.37 1216.63:2127.17:3277.31 +IOPATH A[6] O[22] 948.677:1658.68:2555.51 1042.57:1822.84:2808.43 +IOPATH A[6] O[23] 990.204:1731.29:2667.37 1070.13:1871.02:2882.66 +IOPATH A[6] O[24] 1120.21:1958.59:3017.58 1192.11:2084.3:3211.25 +IOPATH A[6] O[25] 1170.25:2046.09:3152.38 1260.21:2203.37:3394.7 +IOPATH A[6] O[26] 1250.34:2186.11:3368.11 1348.82:2358.29:3633.38 +IOPATH A[6] O[27] 1245.9:2178.34:3356.15 1326.76:2319.72:3573.96 +IOPATH A[6] O[28] 1211.86:2118.82:3264.45 1289.32:2254.27:3473.13 +IOPATH A[6] O[29] 1253.73:2192.03:3377.24 1332.66:2330.03:3589.86 +IOPATH A[6] O[30] 1286.67:2249.62:3465.97 1350.58:2361.37:3638.13 +IOPATH A[6] O[31] 1311.45:2292.95:3532.73 1355.76:2370.43:3652.09 +IOPATH A[7] ACCUMCO 791.138:1383.24:2131.13 910.904:1592.64:2453.76 +IOPATH A[7] CO 1072.57:1875.3:2889.26 1179.35:2061.99:3176.89 +IOPATH A[7] O[23] 914.48:1598.89:2463.39 994.402:1738.62:2678.68 +IOPATH A[7] O[24] 1092.33:1909.85:2942.48 1162.33:2032.23:3131.03 +IOPATH A[7] O[25] 1140.97:1994.89:3073.5 1223.52:2139.22:3295.87 +IOPATH A[7] O[26] 1215.11:2124.51:3273.21 1312.13:2294.14:3534.55 +IOPATH A[7] O[27] 1209.21:2114.2:3257.32 1290.07:2255.57:3475.13 +IOPATH A[7] O[28] 1175.17:2054.67:3165.61 1252.63:2190.12:3374.29 +IOPATH A[7] O[29] 1217.04:2127.88:3278.4 1295.97:2265.88:3491.02 +IOPATH A[7] O[30] 1249.98:2185.47:3367.13 1313.89:2297.22:3539.29 +IOPATH A[7] O[31] 1274.76:2228.8:3433.89 1319.07:2306.28:3553.25 +IOPATH A[8] ACCUMCO 770.12:1346.49:2074.52 895.272:1565.3:2411.65 +IOPATH A[8] CO 1051.56:1838.55:2832.64 1163.72:2034.66:3134.78 +IOPATH A[8] O[24] 893.792:1562.72:2407.66 963.788:1685.1:2596.21 +IOPATH A[8] O[25] 960.949:1680.13:2588.56 1043.18:1823.9:2810.06 +IOPATH A[8] O[26] 1035.09:1809.76:2788.28 1126.05:1968.8:3033.31 +IOPATH A[8] O[27] 1025.78:1793.49:2763.21 1098.99:1921.49:2960.41 +IOPATH A[8] O[28] 1125.36:1967.6:3031.45 1202.83:2103.04:3240.12 +IOPATH A[8] O[29] 1167.23:2040.8:3144.23 1246.16:2178.8:3356.85 +IOPATH A[8] O[30] 1200.17:2098.39:3232.96 1264.08:2210.13:3405.13 +IOPATH A[8] O[31] 1224.95:2141.72:3299.72 1269.26:2219.19:3419.09 +IOPATH A[9] ACCUMCO 828.876:1449.22:2232.79 952.845:1665.97:2566.73 +IOPATH A[9] CO 1110.31:1941.28:2990.92 1221.29:2135.32:3289.87 +IOPATH A[9] O[25] 951.957:1664.41:2564.34 1034.18:1808.18:2785.84 +IOPATH A[9] O[26] 1043.97:1825.3:2812.22 1134.94:1984.34:3057.25 +IOPATH A[9] O[27] 1034.67:1809.02:2787.14 1107.87:1937.02:2984.34 +IOPATH A[9] O[28] 1182.94:2068.26:3186.54 1260.4:2203.7:3395.21 +IOPATH A[9] O[29] 1224.8:2141.46:3299.32 1303.73:2279.46:3511.94 +IOPATH A[9] O[30] 1257.74:2199.05:3388.05 1321.65:2310.79:3560.21 +IOPATH A[9] O[31] 1282.52:2242.38:3454.81 1326.83:2319.85:3574.17 +IOPATH A[10] ACCUMCO 772.347:1350.38:2080.52 848.764:1483.99:2286.36 +IOPATH A[10] CO 1053.78:1842.45:2838.64 1117.21:1953.35:3009.5 +IOPATH A[10] O[26] 922.831:1613.49:2485.89 1013.79:1772.53:2730.91 +IOPATH A[10] O[27] 931.094:1627.94:2508.14 1004.3:1755.93:2705.34 +IOPATH A[10] O[28] 1074.16:1878.07:2893.52 1151.69:2013.63:3102.38 +IOPATH A[10] O[29] 1116.09:1951.39:3006.49 1195.03:2089.4:3219.11 +IOPATH A[10] O[30] 1149.03:2008.99:3095.22 1212.95:2120.73:3267.38 +IOPATH A[10] O[31] 1173.82:2052.32:3161.98 1218.13:2129.79:3281.35 +IOPATH A[11] ACCUMCO 701.313:1226.19:1889.17 781.597:1366.55:2105.43 +IOPATH A[11] CO 982.75:1718.25:2647.29 1050.04:1835.91:2828.57 +IOPATH A[11] O[27] 812.677:1420.89:2189.16 885.883:1548.89:2386.35 +IOPATH A[11] O[28] 992.789:1735.81:2674.34 1068.95:1868.97:2879.51 +IOPATH A[11] O[29] 1033.36:1806.73:2783.61 1112.29:1944.74:2996.23 +IOPATH A[11] O[30] 1066.3:1864.32:2872.34 1130.21:1976.07:3044.5 +IOPATH A[11] O[31] 1091.08:1907.66:2939.1 1135.39:1985.13:3058.47 +IOPATH A[12] ACCUMCO 700.343:1224.49:1886.56 795.427:1390.73:2142.69 +IOPATH A[12] CO 981.78:1716.56:2644.68 1063.87:1860.09:2865.82 +IOPATH A[12] O[28] 816.391:1427.39:2199.16 886.073:1549.22:2386.87 +IOPATH A[12] O[29] 869.819:1520.8:2343.08 940.754:1644.83:2534.17 +IOPATH A[12] O[30] 896.321:1567.14:2414.47 954.581:1669:2571.41 +IOPATH A[12] O[31] 915.453:1600.59:2466.01 960.099:1678.65:2586.28 +IOPATH A[13] ACCUMCO 730.555:1277.31:1967.94 808.878:1414.25:2178.92 +IOPATH A[13] CO 1011.99:1769.38:2726.06 1077.33:1883.61:2902.06 +IOPATH A[13] O[29] 832.515:1455.58:2242.59 903.45:1579.6:2433.68 +IOPATH A[13] O[30] 876.721:1532.87:2361.68 934.105:1633.2:2516.25 +IOPATH A[13] O[31] 899.094:1571.99:2421.94 940.497:1644.38:2533.47 +IOPATH A[14] ACCUMCO 761.82:1331.98:2052.16 801.725:1401.75:2159.66 +IOPATH A[14] CO 1043.26:1824.04:2810.28 1070.17:1871.11:2882.79 +IOPATH A[14] O[30] 846.768:1480.5:2280.99 904.151:1580.83:2435.57 +IOPATH A[14] O[31] 886.64:1550.21:2388.39 928.044:1622.6:2499.93 +IOPATH A[15] ACCUMCO 801.897:1402.05:2160.12 878.693:1536.32:2366.99 +IOPATH A[15] CO 1083.33:1894.11:2918.24 1147.14:2005.68:3090.12 +IOPATH A[15] O[31] 900.679:1574.76:2426.21 930.782:1627.39:2507.3 +IOPATH A[15] SIGNEXTOUT 425.195:743.416:1145.37 405.609:709.172:1092.61 +IOPATH ADDSUBBOT ACCUMCO 1104.76:1931.57:2975.94 1224.67:2141.23:3298.97 +IOPATH ADDSUBBOT CO 1386.19:2423.64:3734.07 1493.12:2610.59:4022.1 +IOPATH ADDSUBBOT O[0] 731.371:1278.74:1970.14 794.528:1389.16:2140.27 +IOPATH ADDSUBBOT O[1] 778.922:1361.88:2098.23 830.564:1452.17:2237.34 +IOPATH ADDSUBBOT O[2] 839.447:1467.7:2261.27 901.063:1575.43:2427.25 +IOPATH ADDSUBBOT O[3] 886.826:1550.54:2388.89 951.334:1663.32:2562.66 +IOPATH ADDSUBBOT O[4] 1025.22:1792.52:2761.71 1087.59:1901.56:2929.7 +IOPATH ADDSUBBOT O[5] 1086.27:1899.24:2926.14 1158.4:2025.36:3120.45 +IOPATH ADDSUBBOT O[6] 1155.71:2020.65:3113.2 1233.12:2156.01:3321.73 +IOPATH ADDSUBBOT O[7] 1184.26:2070.57:3190.11 1257.19:2198.08:3386.56 +IOPATH ADDSUBBOT O[8] 1179.15:2061.64:3176.34 1267.81:2216.65:3415.17 +IOPATH ADDSUBBOT O[9] 1242.91:2173.13:3348.11 1341.29:2345.13:3613.12 +IOPATH ADDSUBBOT O[10] 1275.37:2229.86:3435.53 1363.89:2384.65:3674 +IOPATH ADDSUBBOT O[11] 1386.68:2424.5:3735.39 1472.42:2574.4:3966.35 +IOPATH ADDSUBBOT O[12] 1285.88:2248.24:3463.84 1361.29:2380.09:3666.98 +IOPATH ADDSUBBOT O[13] 1340.97:2344.56:3612.24 1420.1:2482.92:3825.4 +IOPATH ADDSUBBOT O[14] 1500.74:2623.92:4042.64 1588.84:2777.94:4279.94 +IOPATH ADDSUBBOT O[15] 1456.9:2547.26:3924.54 1551.97:2713.49:4180.64 +IOPATH ADDSUBBOT O[16] 1506.86:2634.62:4059.13 1630.15:2850.18:4391.24 +IOPATH ADDSUBBOT O[17] 1555.68:2719.97:4190.62 1665.68:2912.3:4486.95 +IOPATH ADDSUBBOT O[18] 1620.08:2832.57:4364.11 1743.22:3047.87:4695.83 +IOPATH ADDSUBBOT O[19] 1707.97:2986.23:4600.85 1801.85:3150.38:4853.75 +IOPATH ADDSUBBOT O[20] 1646.91:2879.48:4436.39 1731.07:3026.63:4663.1 +IOPATH ADDSUBBOT O[21] 1615.48:2824.53:4351.72 1696.87:2966.83:4570.96 +IOPATH ADDSUBBOT O[22] 1696.98:2967.02:4571.25 1798.23:3144.06:4844.01 +IOPATH ADDSUBBOT O[23] 1726.54:3018.71:4650.89 1813.53:3170.79:4885.2 +IOPATH ADDSUBBOT O[24] 1684.3:2944.85:4537.1 1761.7:3080.19:4745.61 +IOPATH ADDSUBBOT O[25] 1739.85:3041.98:4686.74 1829.81:3199.26:4929.07 +IOPATH ADDSUBBOT O[26] 1819.94:3182:4902.47 1918.41:3354.18:5167.75 +IOPATH ADDSUBBOT O[27] 1815.5:3174.24:4890.51 1896.35:3315.61:5108.32 +IOPATH ADDSUBBOT O[28] 1781.47:3114.75:4798.86 1858.94:3250.19:5007.53 +IOPATH ADDSUBBOT O[29] 1823.34:3187.95:4911.64 1902.27:3325.96:5124.27 +IOPATH ADDSUBBOT O[30] 1856.28:3245.55:5000.38 1920.19:3357.29:5172.54 +IOPATH ADDSUBBOT O[31] 1881.07:3288.88:5067.14 1925.38:3366.35:5186.5 +IOPATH ADDSUBTOP ACCUMCO 789.716:1380.75:2127.3 930.611:1627.09:2506.84 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.819:386.083:594.834 +IOPATH ADDSUBTOP O[16] 906.166:1584.35:2440.99 1026.48:1794.71:2765.1 +IOPATH ADDSUBTOP O[17] 967.562:1691.7:2606.38 1074.1:1877.96:2893.35 +IOPATH ADDSUBTOP O[18] 1028.49:1798.23:2770.52 1151.63:2013.53:3102.23 +IOPATH ADDSUBTOP O[19] 1116.38:1951.89:3007.25 1210.26:2116.04:3260.15 +IOPATH ADDSUBTOP O[20] 1172.94:2050.79:3159.62 1257.1:2197.93:3386.32 +IOPATH ADDSUBTOP O[21] 1141.51:1995.83:3074.95 1222.89:2138.12:3294.18 +IOPATH ADDSUBTOP O[22] 1223:2138.32:3294.48 1324.26:2315.35:3567.23 +IOPATH ADDSUBTOP O[23] 1252.57:2190:3374.11 1339.55:2342.08:3608.42 +IOPATH ADDSUBTOP O[24] 1210.31:2116.12:3260.28 1287.71:2251.45:3468.78 +IOPATH ADDSUBTOP O[25] 1265.86:2213.24:3409.92 1355.82:2370.53:3652.24 +IOPATH ADDSUBTOP O[26] 1345.95:2353.27:3625.65 1444.42:2525.44:3890.92 +IOPATH ADDSUBTOP O[27] 1341.5:2345.5:3613.69 1422.36:2486.87:3831.5 +IOPATH ADDSUBTOP O[28] 1307.48:2286.01:3522.03 1384.95:2421.46:3730.71 +IOPATH ADDSUBTOP O[29] 1349.35:2359.22:3634.82 1428.28:2497.22:3847.44 +IOPATH ADDSUBTOP O[30] 1382.29:2416.81:3723.55 1446.2:2528.56:3895.72 +IOPATH ADDSUBTOP O[31] 1407.07:2460.14:3790.32 1451.38:2537.62:3909.68 +IOPATH B[0] ACCUMCO 1068.85:1868.79:2879.22 1198.29:2095.11:3227.92 +IOPATH B[0] CO 1350.28:2360.85:3637.34 1466.74:2564.47:3951.05 +IOPATH B[0] O[0] 795.001:1389.99:2141.54 868.714:1518.87:2340.11 +IOPATH B[0] O[1] 851.035:1487.96:2292.48 904.761:1581.9:2437.21 +IOPATH B[0] O[2] 913.643:1597.42:2461.13 975.257:1705.15:2627.11 +IOPATH B[0] O[3] 961.02:1680.26:2588.76 1025.53:1793.05:2762.53 +IOPATH B[0] O[4] 1033.35:1806.73:2783.61 1095.72:1915.77:2951.61 +IOPATH B[0] O[5] 1094.4:1913.46:2948.04 1166.53:2039.57:3142.35 +IOPATH B[0] O[6] 1163.84:2034.87:3135.1 1241.25:2170.22:3343.64 +IOPATH B[0] O[7] 1192.39:2084.79:3212.01 1265.32:2212.3:3408.46 +IOPATH B[0] O[8] 1187.28:2075.85:3198.24 1275.94:2230.87:3437.08 +IOPATH B[0] O[9] 1251.04:2187.34:3370.01 1349.42:2359.35:3635.02 +IOPATH B[0] O[10] 1283.49:2244.08:3457.42 1372.02:2398.86:3695.9 +IOPATH B[0] O[11] 1394.81:2438.71:3757.29 1480.55:2588.61:3988.25 +IOPATH B[0] O[12] 1294.01:2262.46:3485.74 1369.42:2394.3:3688.88 +IOPATH B[0] O[13] 1349.1:2358.78:3634.14 1428.23:2497.13:3847.3 +IOPATH B[0] O[14] 1508.87:2638.13:4064.54 1596.97:2792.16:4301.84 +IOPATH B[0] O[15] 1465.03:2561.48:3946.44 1560.1:2727.7:4202.54 +IOPATH B[0] O[16] 1480.49:2588.5:3988.08 1603.78:2804.07:4320.19 +IOPATH B[0] O[17] 1529.3:2673.85:4119.57 1639.31:2866.19:4415.9 +IOPATH B[0] O[18] 1593.71:2786.46:4293.06 1716.85:3001.76:4624.77 +IOPATH B[0] O[19] 1681.59:2940.11:4529.8 1775.47:3104.26:4782.7 +IOPATH B[0] O[20] 1620.54:2833.37:4365.34 1704.7:2980.52:4592.05 +IOPATH B[0] O[21] 1589.11:2778.41:4280.67 1670.49:2920.71:4499.91 +IOPATH B[0] O[22] 1670.6:2920.9:4500.2 1771.86:3097.94:4772.96 +IOPATH B[0] O[23] 1700.17:2972.59:4579.84 1787.15:3124.67:4814.15 +IOPATH B[0] O[24] 1657.92:2898.74:4466.05 1735.33:3034.07:4674.55 +IOPATH B[0] O[25] 1713.48:2995.86:4615.69 1803.43:3153.15:4858.01 +IOPATH B[0] O[26] 1793.56:3135.89:4831.42 1892.04:3308.06:5096.69 +IOPATH B[0] O[27] 1789.12:3128.12:4819.46 1869.98:3269.49:5037.27 +IOPATH B[0] O[28] 1755.1:3068.63:4727.8 1832.56:3204.08:4936.48 +IOPATH B[0] O[29] 1796.97:3141.84:4840.59 1875.9:3279.84:5053.21 +IOPATH B[0] O[30] 1829.91:3199.43:4929.32 1893.82:3311.17:5101.49 +IOPATH B[0] O[31] 1854.69:3242.76:4996.09 1899:3320.24:5115.45 +IOPATH B[1] ACCUMCO 1103.54:1929.45:2972.68 1229.23:2149.21:3311.26 +IOPATH B[1] CO 1384.98:2421.51:3730.8 1497.68:2618.57:4034.39 +IOPATH B[1] O[1] 798.842:1396.71:2151.89 864.954:1512.3:2329.98 +IOPATH B[1] O[2] 885.924:1548.96:2386.47 947.537:1656.68:2552.44 +IOPATH B[1] O[3] 933.299:1631.79:2514.08 997.808:1744.58:2687.85 +IOPATH B[1] O[4] 1064.29:1860.82:2866.95 1126.66:1969.87:3034.95 +IOPATH B[1] O[5] 1125.34:1967.55:3031.38 1197.47:2093.67:3225.69 +IOPATH B[1] O[6] 1194.78:2088.96:3218.44 1272.19:2224.32:3426.98 +IOPATH B[1] O[7] 1223.33:2138.88:3295.35 1296.26:2266.39:3491.8 +IOPATH B[1] O[8] 1218.22:2129.95:3281.59 1306.88:2284.97:3520.42 +IOPATH B[1] O[9] 1281.98:2241.44:3453.35 1380.36:2413.44:3718.37 +IOPATH B[1] O[10] 1314.44:2298.17:3540.77 1402.96:2452.96:3779.25 +IOPATH B[1] O[11] 1425.75:2492.81:3840.64 1511.49:2642.71:4071.59 +IOPATH B[1] O[12] 1324.95:2316.55:3569.08 1400.36:2448.4:3772.22 +IOPATH B[1] O[13] 1380.04:2412.87:3717.49 1459.17:2551.23:3930.65 +IOPATH B[1] O[14] 1539.81:2692.23:4147.88 1627.91:2846.25:4385.19 +IOPATH B[1] O[15] 1495.97:2615.57:4029.78 1591.04:2781.8:4285.89 +IOPATH B[1] O[16] 1511.43:2642.6:4071.42 1634.72:2858.16:4403.54 +IOPATH B[1] O[17] 1560.24:2727.95:4202.91 1670.25:2920.28:4499.24 +IOPATH B[1] O[18] 1624.65:2840.55:4376.4 1747.79:3055.85:4708.11 +IOPATH B[1] O[19] 1712.53:2994.21:4613.14 1806.41:3158.35:4866.04 +IOPATH B[1] O[20] 1651.48:2887.46:4448.68 1735.64:3034.61:4675.39 +IOPATH B[1] O[21] 1620.05:2832.51:4364.01 1701.43:2974.81:4583.25 +IOPATH B[1] O[22] 1701.54:2975:4583.55 1802.8:3152.03:4856.3 +IOPATH B[1] O[23] 1731.1:3026.69:4663.18 1818.09:3178.77:4897.49 +IOPATH B[1] O[24] 1688.86:2952.83:4549.39 1766.27:3088.16:4757.9 +IOPATH B[1] O[25] 1744.41:3049.96:4699.03 1834.37:3207.24:4941.36 +IOPATH B[1] O[26] 1824.5:3189.98:4914.77 1922.98:3362.16:5180.04 +IOPATH B[1] O[27] 1820.06:3182.21:4902.8 1900.92:3323.59:5120.61 +IOPATH B[1] O[28] 1786.03:3122.72:4811.15 1863.5:3258.17:5019.83 +IOPATH B[1] O[29] 1827.9:3195.93:4923.93 1906.84:3333.94:5136.56 +IOPATH B[1] O[30] 1860.84:3253.52:5012.67 1924.76:3365.27:5184.83 +IOPATH B[1] O[31] 1885.63:3296.86:5079.43 1929.94:3374.33:5198.79 +IOPATH B[2] ACCUMCO 1100.22:1923.64:2963.73 1212.03:2119.12:3264.91 +IOPATH B[2] CO 1381.66:2415.7:3721.85 1480.47:2588.48:3988.04 +IOPATH B[2] O[2] 821.738:1436.74:2213.56 896.133:1566.81:2413.97 +IOPATH B[2] O[3] 893.949:1562.99:2408.08 958.458:1675.78:2581.85 +IOPATH B[2] O[4] 1047.09:1830.74:2820.6 1109.45:1939.78:2988.6 +IOPATH B[2] O[5] 1108.13:1937.46:2985.03 1180.26:2063.58:3179.34 +IOPATH B[2] O[6] 1177.57:2058.88:3172.09 1254.98:2194.23:3380.63 +IOPATH B[2] O[7] 1206.12:2108.8:3249 1279.05:2236.31:3445.45 +IOPATH B[2] O[8] 1201.01:2099.86:3235.23 1289.67:2254.88:3474.07 +IOPATH B[2] O[9] 1264.78:2211.35:3407 1363.16:2383.36:3672.01 +IOPATH B[2] O[10] 1297.23:2268.09:3494.42 1385.76:2422.87:3732.89 +IOPATH B[2] O[11] 1408.55:2462.72:3794.28 1494.28:2612.62:4025.24 +IOPATH B[2] O[12] 1307.74:2286.47:3522.73 1383.15:2418.31:3725.87 +IOPATH B[2] O[13] 1362.83:2382.79:3671.14 1441.96:2521.14:3884.29 +IOPATH B[2] O[14] 1522.6:2662.14:4101.53 1610.7:2816.17:4338.83 +IOPATH B[2] O[15] 1478.76:2585.49:3983.43 1573.84:2751.71:4239.54 +IOPATH B[2] O[16] 1494.22:2612.51:4025.06 1617.51:2828.07:4357.18 +IOPATH B[2] O[17] 1543.03:2697.86:4156.56 1653.04:2890.2:4452.89 +IOPATH B[2] O[18] 1607.44:2810.46:4330.05 1730.58:3025.76:4661.76 +IOPATH B[2] O[19] 1695.32:2964.12:4566.79 1789.2:3128.27:4819.69 +IOPATH B[2] O[20] 1634.27:2857.37:4402.32 1718.43:3004.52:4629.03 +IOPATH B[2] O[21] 1602.84:2802.42:4317.66 1684.22:2944.72:4536.9 +IOPATH B[2] O[22] 1684.34:2944.91:4537.19 1785.59:3121.95:4809.95 +IOPATH B[2] O[23] 1713.9:2996.6:4616.83 1800.88:3148.68:4851.14 +IOPATH B[2] O[24] 1671.66:2922.74:4503.04 1749.06:3058.08:4711.54 +IOPATH B[2] O[25] 1727.21:3019.87:4652.68 1817.16:3177.15:4895 +IOPATH B[2] O[26] 1807.29:3159.89:4868.41 1905.77:3332.07:5133.68 +IOPATH B[2] O[27] 1802.85:3152.13:4856.45 1883.71:3293.5:5074.26 +IOPATH B[2] O[28] 1768.83:3092.64:4764.79 1846.29:3228.08:4973.47 +IOPATH B[2] O[29] 1810.7:3165.85:4877.58 1889.63:3303.85:5090.2 +IOPATH B[2] O[30] 1843.64:3223.44:4966.31 1907.55:3335.18:5138.48 +IOPATH B[2] O[31] 1868.42:3266.77:5033.07 1912.73:3344.24:5152.43 +IOPATH B[3] ACCUMCO 1041.71:1821.34:2806.12 1157.17:2023.2:3117.13 +IOPATH B[3] CO 1323.15:2313.41:3564.24 1425.61:2492.56:3840.26 +IOPATH B[3] O[3] 788.683:1378.94:2124.52 865.285:1512.88:2330.87 +IOPATH B[3] O[4] 992.648:1735.56:2673.95 1055.01:1844.6:2841.96 +IOPATH B[3] O[5] 1053.69:1842.28:2838.39 1125.82:1968.4:3032.7 +IOPATH B[3] O[6] 1123.13:1963.7:3025.45 1200.55:2099.05:3233.98 +IOPATH B[3] O[7] 1151.68:2013.62:3102.36 1224.61:2141.13:3298.81 +IOPATH B[3] O[8] 1146.57:2004.68:3088.59 1235.23:2159.7:3327.42 +IOPATH B[3] O[9] 1210.34:2116.17:3260.35 1308.72:2288.17:3525.36 +IOPATH B[3] O[10] 1242.79:2172.9:3347.77 1331.32:2327.69:3586.25 +IOPATH B[3] O[11] 1354.11:2367.54:3647.64 1439.84:2517.44:3878.59 +IOPATH B[3] O[12] 1253.3:2191.28:3376.08 1328.71:2323.13:3579.22 +IOPATH B[3] O[13] 1308.39:2287.61:3524.49 1387.52:2425.96:3737.64 +IOPATH B[3] O[14] 1468.16:2566.96:3954.88 1556.26:2720.98:4192.19 +IOPATH B[3] O[15] 1424.32:2490.3:3836.78 1519.4:2656.53:4092.89 +IOPATH B[3] O[16] 1439.36:2516.59:3877.28 1562.65:2732.16:4209.4 +IOPATH B[3] O[17] 1488.17:2601.94:4008.78 1598.18:2794.28:4305.11 +IOPATH B[3] O[18] 1552.58:2714.55:4182.27 1675.72:2929.85:4513.98 +IOPATH B[3] O[19] 1640.46:2868.2:4419.01 1734.34:3032.35:4671.91 +IOPATH B[3] O[20] 1579.41:2761.46:4254.54 1663.57:2908.61:4481.25 +IOPATH B[3] O[21] 1547.98:2706.5:4169.88 1629.36:2848.8:4389.12 +IOPATH B[3] O[22] 1629.48:2848.99:4389.41 1730.73:3026.03:4662.17 +IOPATH B[3] O[23] 1659.04:2900.68:4469.05 1746.02:3052.76:4703.36 +IOPATH B[3] O[24] 1616.8:2826.83:4355.26 1694.2:2962.16:4563.76 +IOPATH B[3] O[25] 1672.35:2923.95:4504.9 1762.3:3081.23:4747.22 +IOPATH B[3] O[26] 1752.43:3063.98:4720.63 1850.91:3236.15:4985.9 +IOPATH B[3] O[27] 1747.99:3056.21:4708.67 1828.85:3197.58:4926.48 +IOPATH B[3] O[28] 1713.97:2996.72:4617.01 1791.43:3132.17:4825.69 +IOPATH B[3] O[29] 1755.84:3069.93:4729.8 1834.77:3207.93:4942.42 +IOPATH B[3] O[30] 1788.78:3127.52:4818.53 1852.69:3239.26:4990.7 +IOPATH B[3] O[31] 1813.56:3170.85:4885.29 1857.87:3248.32:5004.66 +IOPATH B[4] ACCUMCO 1100.05:1923.34:2963.27 1224.76:2141.38:3299.2 +IOPATH B[4] CO 1381.49:2415.41:3721.4 1493.2:2610.74:4022.33 +IOPATH B[4] O[4] 837.403:1464.13:2255.76 912.148:1594.81:2457.11 +IOPATH B[4] O[5] 923.077:1613.92:2486.55 995.21:1740.04:2680.86 +IOPATH B[4] O[6] 992.518:1735.33:2673.6 1069.93:1870.68:2882.14 +IOPATH B[4] O[7] 1021.07:1785.25:2750.51 1094:1912.76:2946.97 +IOPATH B[4] O[8] 1103.07:1928.63:2971.41 1191.73:2083.64:3210.24 +IOPATH B[4] O[9] 1166.83:2040.11:3143.17 1265.21:2212.11:3408.18 +IOPATH B[4] O[10] 1199.28:2096.84:3230.58 1287.81:2251.63:3469.06 +IOPATH B[4] O[11] 1310.6:2291.48:3530.45 1396.34:2441.38:3761.41 +IOPATH B[4] O[12] 1209.78:2115.19:3258.85 1285.19:2247.04:3461.99 +IOPATH B[4] O[13] 1264.87:2211.51:3407.25 1344:2349.87:3620.41 +IOPATH B[4] O[14] 1424.64:2490.87:3837.65 1512.74:2644.89:4074.95 +IOPATH B[4] O[15] 1380.8:2414.21:3719.55 1475.87:2580.44:3975.65 +IOPATH B[4] O[16] 1506.95:2634.77:4059.35 1630.24:2850.33:4391.47 +IOPATH B[4] O[17] 1555.76:2720.11:4190.85 1665.77:2912.45:4487.18 +IOPATH B[4] O[18] 1620.17:2832.72:4364.34 1743.31:3048.02:4696.05 +IOPATH B[4] O[19] 1708.05:2986.38:4601.07 1801.93:3150.53:4853.98 +IOPATH B[4] O[20] 1647:2879.63:4436.61 1731.16:3026.78:4663.32 +IOPATH B[4] O[21] 1615.57:2824.68:4351.95 1696.95:2966.98:4571.19 +IOPATH B[4] O[22] 1697.06:2967.17:4571.48 1798.32:3144.2:4844.24 +IOPATH B[4] O[23] 1726.63:3018.86:4651.12 1813.61:3170.94:4885.43 +IOPATH B[4] O[24] 1684.38:2945:4537.33 1761.79:3080.33:4745.83 +IOPATH B[4] O[25] 1739.94:3042.13:4686.97 1829.89:3199.41:4929.29 +IOPATH B[4] O[26] 1820.02:3182.15:4902.7 1918.5:3354.33:5167.97 +IOPATH B[4] O[27] 1815.58:3174.38:4890.74 1896.44:3315.76:5108.55 +IOPATH B[4] O[28] 1781.56:3114.89:4799.08 1859.02:3250.34:5007.76 +IOPATH B[4] O[29] 1823.43:3188.1:4911.87 1902.36:3326.11:5124.49 +IOPATH B[4] O[30] 1856.37:3245.69:5000.6 1920.28:3357.44:5172.77 +IOPATH B[4] O[31] 1881.15:3289.03:5067.36 1925.46:3366.5:5186.73 +IOPATH B[5] ACCUMCO 1099.26:1921.95:2961.13 1253.01:2190.78:3375.32 +IOPATH B[5] CO 1380.69:2414.02:3719.25 1521.46:2660.14:4098.45 +IOPATH B[5] O[5] 842.819:1473.6:2270.35 927.87:1622.3:2499.46 +IOPATH B[5] O[6] 937.233:1638.67:2524.68 1014.65:1774.02:2733.21 +IOPATH B[5] O[7] 965.782:1688.59:2601.58 1038.71:1816.09:2798.03 +IOPATH B[5] O[8] 1131.34:1978.06:3047.57 1220:2133.07:3286.39 +IOPATH B[5] O[9] 1195.1:2089.53:3219.32 1293.48:2261.54:3484.33 +IOPATH B[5] O[10] 1227.56:2146.27:3306.74 1316.08:2301.06:3545.21 +IOPATH B[5] O[11] 1338.87:2340.9:3606.61 1424.61:2490.81:3837.56 +IOPATH B[5] O[12] 1238.05:2164.62:3335 1313.46:2296.47:3538.14 +IOPATH B[5] O[13] 1293.14:2260.94:3483.41 1372.27:2399.29:3696.56 +IOPATH B[5] O[14] 1452.91:2540.29:3913.8 1541.01:2694.32:4151.11 +IOPATH B[5] O[15] 1409.07:2463.64:3795.7 1504.15:2629.87:4051.81 +IOPATH B[5] O[16] 1535.21:2684.17:4135.47 1658.5:2899.74:4467.59 +IOPATH B[5] O[17] 1584.02:2769.52:4266.97 1694.03:2961.86:4563.3 +IOPATH B[5] O[18] 1648.43:2882.13:4440.46 1771.57:3097.43:4772.17 +IOPATH B[5] O[19] 1736.31:3035.78:4677.2 1830.19:3199.93:4930.1 +IOPATH B[5] O[20] 1675.26:2929.04:4512.73 1759.42:3076.19:4739.45 +IOPATH B[5] O[21] 1643.83:2874.09:4428.07 1725.21:3016.38:4647.31 +IOPATH B[5] O[22] 1725.32:3016.58:4647.6 1826.58:3193.61:4920.36 +IOPATH B[5] O[23] 1754.88:3068.26:4727.24 1841.87:3220.35:4961.55 +IOPATH B[5] O[24] 1712.64:2994.41:4613.45 1790.05:3129.74:4821.96 +IOPATH B[5] O[25] 1768.19:3091.53:4763.09 1858.15:3248.82:5005.41 +IOPATH B[5] O[26] 1848.28:3231.56:4978.83 1946.76:3403.73:5244.09 +IOPATH B[5] O[27] 1843.84:3223.79:4966.86 1924.7:3365.16:5184.67 +IOPATH B[5] O[28] 1809.81:3164.3:4875.21 1887.28:3299.75:5083.88 +IOPATH B[5] O[29] 1851.68:3237.51:4987.99 1930.62:3375.51:5200.62 +IOPATH B[5] O[30] 1884.63:3295.1:5076.73 1948.54:3406.85:5248.89 +IOPATH B[5] O[31] 1909.41:3338.43:5143.49 1953.72:3415.91:5262.85 +IOPATH B[6] ACCUMCO 1086.86:1900.28:2927.75 1218.13:2129.79:3281.35 +IOPATH B[6] CO 1368.3:2392.35:3685.87 1486.58:2599.15:4004.48 +IOPATH B[6] O[6] 859.459:1502.69:2315.18 949.687:1660.44:2558.23 +IOPATH B[6] O[7] 912.864:1596.06:2459.04 985.793:1723.57:2655.49 +IOPATH B[6] O[8] 1096.46:1917.07:2953.6 1185.12:2072.08:3192.43 +IOPATH B[6] O[9] 1160.22:2028.55:3125.36 1258.6:2200.55:3390.37 +IOPATH B[6] O[10] 1192.67:2085.28:3212.77 1281.2:2240.07:3451.25 +IOPATH B[6] O[11] 1303.99:2279.92:3512.64 1389.73:2429.82:3743.6 +IOPATH B[6] O[12] 1203.17:2103.63:3241.04 1278.58:2235.48:3444.18 +IOPATH B[6] O[13] 1258.26:2199.95:3389.44 1337.39:2338.3:3602.6 +IOPATH B[6] O[14] 1418.03:2479.31:3819.84 1506.13:2633.33:4057.14 +IOPATH B[6] O[15] 1374.19:2402.65:3701.74 1469.26:2568.88:3957.84 +IOPATH B[6] O[16] 1500.32:2623.18:4041.51 1623.61:2838.75:4373.62 +IOPATH B[6] O[17] 1549.14:2708.53:4173 1659.14:2900.87:4469.33 +IOPATH B[6] O[18] 1613.54:2821.14:4346.49 1736.68:3036.44:4678.2 +IOPATH B[6] O[19] 1701.42:2974.79:4583.23 1795.31:3138.94:4836.13 +IOPATH B[6] O[20] 1640.37:2868.05:4418.76 1724.53:3015.2:4645.48 +IOPATH B[6] O[21] 1608.94:2813.1:4334.1 1690.33:2955.39:4553.34 +IOPATH B[6] O[22] 1690.44:2955.58:4553.63 1791.69:3132.62:4826.39 +IOPATH B[6] O[23] 1720:3007.27:4633.27 1806.98:3159.35:4867.58 +IOPATH B[6] O[24] 1677.76:2933.42:4519.48 1755.16:3068.75:4727.99 +IOPATH B[6] O[25] 1733.31:3030.54:4669.12 1823.27:3187.82:4911.44 +IOPATH B[6] O[26] 1813.4:3170.57:4884.86 1911.87:3342.74:5150.12 +IOPATH B[6] O[27] 1808.96:3162.8:4872.89 1889.81:3304.17:5090.7 +IOPATH B[6] O[28] 1774.93:3103.31:4781.24 1852.4:3238.76:4989.91 +IOPATH B[6] O[29] 1816.8:3176.52:4894.02 1895.73:3314.52:5106.64 +IOPATH B[6] O[30] 1849.74:3234.11:4982.76 1913.65:3345.85:5154.92 +IOPATH B[6] O[31] 1874.52:3277.44:5049.52 1918.83:3354.91:5168.88 +IOPATH B[7] ACCUMCO 1059.17:1851.86:2853.14 1175.3:2054.92:3165.99 +IOPATH B[7] CO 1340.6:2343.93:3611.27 1443.75:2524.27:3889.12 +IOPATH B[7] O[7] 827.821:1447.37:2229.95 912.727:1595.82:2458.67 +IOPATH B[7] O[8] 1054.42:1843.56:2840.36 1143.08:1998.57:3079.18 +IOPATH B[7] O[9] 1118.18:1955.04:3012.11 1216.56:2127.05:3277.12 +IOPATH B[7] O[10] 1150.63:2011.78:3099.53 1239.16:2166.57:3338 +IOPATH B[7] O[11] 1261.95:2206.41:3399.4 1347.69:2356.32:3630.35 +IOPATH B[7] O[12] 1161.12:2030.13:3127.79 1236.53:2161.97:3330.93 +IOPATH B[7] O[13] 1216.22:2126.45:3276.19 1295.35:2264.8:3489.35 +IOPATH B[7] O[14] 1375.99:2405.8:3706.59 1464.09:2559.82:3943.89 +IOPATH B[7] O[15] 1332.15:2329.15:3588.49 1427.22:2495.37:3844.59 +IOPATH B[7] O[16] 1457.5:2548.3:3926.14 1580.79:2763.87:4258.26 +IOPATH B[7] O[17] 1506.31:2633.65:4057.64 1616.32:2825.99:4353.97 +IOPATH B[7] O[18] 1570.72:2746.26:4231.13 1693.86:2961.56:4562.84 +IOPATH B[7] O[19] 1658.6:2899.91:4467.86 1752.48:3064.06:4720.77 +IOPATH B[7] O[20] 1597.55:2793.17:4303.4 1681.71:2940.32:4530.11 +IOPATH B[7] O[21] 1566.12:2738.22:4218.74 1647.5:2880.52:4437.98 +IOPATH B[7] O[22] 1647.61:2880.71:4438.27 1748.87:3057.74:4711.03 +IOPATH B[7] O[23] 1677.18:2932.39:4517.91 1764.16:3084.48:4752.22 +IOPATH B[7] O[24] 1634.93:2858.54:4404.12 1712.34:2993.87:4612.63 +IOPATH B[7] O[25] 1690.48:2955.66:4553.76 1780.44:3112.95:4796.08 +IOPATH B[7] O[26] 1770.57:3095.69:4769.49 1869.05:3267.87:5034.76 +IOPATH B[7] O[27] 1766.13:3087.92:4757.53 1846.99:3229.3:4975.34 +IOPATH B[7] O[28] 1732.1:3028.43:4665.87 1809.57:3163.88:4874.55 +IOPATH B[7] O[29] 1773.97:3101.64:4778.66 1852.91:3239.64:4991.28 +IOPATH B[7] O[30] 1806.92:3159.23:4867.39 1870.83:3270.98:5039.56 +IOPATH B[7] O[31] 1831.7:3202.56:4934.15 1876.01:3280.04:5053.52 +IOPATH B[8] ACCUMCO 1023.86:1790.12:2758.02 1106.4:1934.45:2980.38 +IOPATH B[8] CO 1305.29:2282.19:3516.14 1374.85:2403.81:3703.52 +IOPATH B[8] O[8] 821.833:1436.9:2213.82 906.695:1585.28:2442.42 +IOPATH B[8] O[9] 897.453:1569.12:2417.52 992.314:1734.97:2673.05 +IOPATH B[8] O[10] 926.385:1619.7:2495.46 1014.91:1774.49:2733.93 +IOPATH B[8] O[11] 1037.7:1814.34:2795.33 1123.44:1964.24:3026.28 +IOPATH B[8] O[12] 1051.22:1837.96:2831.73 1126.58:1969.73:3034.74 +IOPATH B[8] O[13] 1106.27:1934.21:2980.02 1185.4:2072.56:3193.17 +IOPATH B[8] O[14] 1266.04:2213.56:3410.41 1354.14:2367.59:3647.72 +IOPATH B[8] O[15] 1222.2:2136.91:3292.31 1317.27:2303.14:3548.42 +IOPATH B[8] O[16] 1388.59:2427.84:3740.54 1511.89:2643.4:4072.66 +IOPATH B[8] O[17] 1437.41:2513.19:3872.04 1547.42:2705.52:4168.37 +IOPATH B[8] O[18] 1501.81:2625.79:4045.53 1624.95:2841.09:4377.24 +IOPATH B[8] O[19] 1589.7:2779.45:4282.26 1683.58:2943.59:4535.16 +IOPATH B[8] O[20] 1528.64:2672.7:4117.8 1612.81:2819.85:4344.51 +IOPATH B[8] O[21] 1497.21:2617.75:4033.14 1578.6:2760.05:4252.37 +IOPATH B[8] O[22] 1578.71:2760.24:4252.67 1679.97:2937.27:4525.42 +IOPATH B[8] O[23] 1608.27:2811.93:4332.3 1695.26:2964.01:4566.61 +IOPATH B[8] O[24] 1566.03:2738.07:4218.51 1643.44:2873.4:4427.02 +IOPATH B[8] O[25] 1621.58:2835.2:4368.15 1711.54:2992.48:4610.48 +IOPATH B[8] O[26] 1701.67:2975.22:4583.89 1800.15:3147.4:4849.16 +IOPATH B[8] O[27] 1697.23:2967.45:4571.92 1778.09:3108.83:4789.73 +IOPATH B[8] O[28] 1663.2:2907.97:4480.27 1740.67:3043.41:4688.95 +IOPATH B[8] O[29] 1705.07:2981.17:4593.06 1784:3119.18:4805.68 +IOPATH B[8] O[30] 1738.01:3038.77:4681.79 1801.92:3150.51:4853.95 +IOPATH B[8] O[31] 1762.8:3082.1:4748.55 1807.11:3159.57:4867.91 +IOPATH B[9] ACCUMCO 1072.15:1874.55:2888.1 1131.84:1978.92:3048.9 +IOPATH B[9] CO 1353.58:2366.62:3646.23 1400.28:2448.28:3772.03 +IOPATH B[9] O[9] 880.003:1538.61:2370.52 970.44:1696.73:2614.13 +IOPATH B[9] O[10] 923.881:1615.33:2488.71 1004.9:1756.98:2706.96 +IOPATH B[9] O[11] 1029.32:1799.68:2772.75 1106.36:1934.37:2980.27 +IOPATH B[9] O[12] 1076.65:1882.43:2900.24 1152.01:2014.19:3103.25 +IOPATH B[9] O[13] 1131.7:1978.68:3048.53 1210.83:2117.03:3261.68 +IOPATH B[9] O[14] 1291.47:2258.03:3478.92 1379.57:2412.06:3716.23 +IOPATH B[9] O[15] 1247.63:2181.38:3360.82 1342.71:2347.6:3616.93 +IOPATH B[9] O[16] 1417.45:2478.29:3818.28 1537.32:2687.87:4141.17 +IOPATH B[9] O[17] 1462.84:2557.65:3940.55 1572.85:2749.99:4236.88 +IOPATH B[9] O[18] 1527.25:2670.26:4114.04 1650.39:2885.56:4445.75 +IOPATH B[9] O[19] 1615.13:2823.92:4350.77 1709.02:2988.07:4603.68 +IOPATH B[9] O[20] 1554.08:2717.17:4186.31 1638.24:2864.32:4413.02 +IOPATH B[9] O[21] 1522.65:2662.22:4101.65 1604.04:2804.52:4320.89 +IOPATH B[9] O[22] 1604.15:2804.71:4321.18 1705.4:2981.74:4593.94 +IOPATH B[9] O[23] 1633.71:2856.4:4400.82 1720.69:3008.48:4635.13 +IOPATH B[9] O[24] 1591.47:2782.54:4287.03 1668.87:2917.87:4495.53 +IOPATH B[9] O[25] 1647.02:2879.67:4436.67 1736.98:3036.95:4678.99 +IOPATH B[9] O[26] 1727.1:3019.69:4652.4 1825.58:3191.87:4917.67 +IOPATH B[9] O[27] 1722.66:3011.92:4640.44 1803.52:3153.3:4858.25 +IOPATH B[9] O[28] 1688.64:2952.43:4548.78 1766.11:3087.88:4757.46 +IOPATH B[9] O[29] 1730.51:3025.64:4661.57 1809.44:3163.65:4874.19 +IOPATH B[9] O[30] 1763.45:3083.23:4750.3 1827.36:3194.98:4922.46 +IOPATH B[9] O[31] 1788.23:3126.57:4817.06 1832.54:3204.04:4936.43 +IOPATH B[10] ACCUMCO 1048.11:1832.52:2823.34 1109.9:1940.56:2989.79 +IOPATH B[10] CO 1329.54:2324.59:3581.47 1378.34:2409.91:3712.93 +IOPATH B[10] O[10] 837.888:1464.97:2257.07 927.429:1621.53:2498.27 +IOPATH B[10] O[11] 962.334:1682.56:2592.29 1048.07:1832.46:2823.24 +IOPATH B[10] O[12] 1041.48:1820.94:2805.5 1116.84:1952.7:3008.5 +IOPATH B[10] O[13] 1096.53:1917.18:2953.78 1175.66:2055.54:3166.94 +IOPATH B[10] O[14] 1256.3:2196.54:3384.18 1344.4:2350.56:3621.49 +IOPATH B[10] O[15] 1212.46:2119.88:3266.08 1307.54:2286.11:3522.18 +IOPATH B[10] O[16] 1393.41:2436.26:3753.52 1515.38:2649.51:4082.07 +IOPATH B[10] O[17] 1440.9:2519.29:3881.45 1550.91:2711.63:4177.78 +IOPATH B[10] O[18] 1505.31:2631.9:4054.94 1628.45:2847.2:4386.65 +IOPATH B[10] O[19] 1593.19:2785.56:4291.67 1687.08:2949.7:4544.57 +IOPATH B[10] O[20] 1532.14:2678.81:4127.21 1616.3:2825.96:4353.92 +IOPATH B[10] O[21] 1500.71:2623.86:4042.55 1582.1:2766.15:4261.78 +IOPATH B[10] O[22] 1582.2:2766.35:4262.08 1683.46:2943.38:4534.83 +IOPATH B[10] O[23] 1611.77:2818.03:4341.71 1698.75:2970.12:4576.02 +IOPATH B[10] O[24] 1569.53:2744.18:4227.92 1646.93:2879.51:4436.43 +IOPATH B[10] O[25] 1625.08:2841.3:4377.57 1715.03:2998.59:4619.89 +IOPATH B[10] O[26] 1705.16:2981.33:4593.3 1803.64:3153.5:4858.57 +IOPATH B[10] O[27] 1700.72:2973.56:4581.33 1781.58:3114.94:4799.15 +IOPATH B[10] O[28] 1666.7:2914.07:4489.68 1744.16:3049.52:4698.36 +IOPATH B[10] O[29] 1708.57:2987.28:4602.47 1787.5:3125.28:4815.09 +IOPATH B[10] O[30] 1741.51:3044.87:4691.2 1805.42:3156.62:4863.36 +IOPATH B[10] O[31] 1766.29:3088.2:4757.96 1810.6:3165.68:4877.32 +IOPATH B[11] ACCUMCO 1096.5:1917.14:2953.72 1140.65:1994.33:3072.64 +IOPATH B[11] CO 1377.94:2409.21:3711.84 1409.1:2463.69:3795.78 +IOPATH B[11] O[11] 960.585:1679.5:2587.58 1037.62:1814.19:2795.1 +IOPATH B[11] O[12] 1075.96:1881.23:2898.39 1144.01:2000.2:3081.69 +IOPATH B[11] O[13] 1124.37:1965.87:3028.79 1196.35:2091.72:3222.69 +IOPATH B[11] O[14] 1276.95:2232.64:3439.81 1365.09:2386.74:3677.23 +IOPATH B[11] O[15] 1233.16:2156.06:3321.82 1328.23:2322.29:3577.93 +IOPATH B[11] O[16] 1441.81:2520.88:3883.89 1557.78:2723.64:4196.28 +IOPATH B[11] O[17] 1484.68:2595.83:3999.36 1587.07:2774.85:4275.18 +IOPATH B[11] O[18] 1541.44:2695.08:4152.27 1659.21:2900.98:4469.5 +IOPATH B[11] O[19] 1624.05:2839.51:4374.81 1717.83:3003.48:4627.43 +IOPATH B[11] O[20] 1562.89:2732.58:4210.06 1647.06:2879.74:4436.78 +IOPATH B[11] O[21] 1531.47:2677.63:4125.4 1612.85:2819.93:4344.64 +IOPATH B[11] O[22] 1612.96:2820.12:4344.93 1714.22:2997.16:4617.69 +IOPATH B[11] O[23] 1642.52:2871.81:4424.56 1729.51:3023.89:4658.88 +IOPATH B[11] O[24] 1600.28:2797.96:4310.78 1677.69:2933.29:4519.28 +IOPATH B[11] O[25] 1655.83:2895.08:4460.42 1745.79:3052.36:4702.74 +IOPATH B[11] O[26] 1735.92:3035.1:4676.15 1834.4:3207.28:4941.42 +IOPATH B[11] O[27] 1731.48:3027.34:4664.19 1812.34:3168.71:4882 +IOPATH B[11] O[28] 1697.45:2967.85:4572.53 1774.92:3103.3:4781.21 +IOPATH B[11] O[29] 1739.32:3041.06:4685.32 1818.26:3179.06:4897.94 +IOPATH B[11] O[30] 1772.26:3098.65:4774.05 1836.18:3210.39:4946.22 +IOPATH B[11] O[31] 1797.05:3141.98:4840.81 1841.36:3219.45:4960.18 +IOPATH B[12] ACCUMCO 1112.43:1944.98:2996.61 1204.52:2106:3244.69 +IOPATH B[12] CO 1393.86:2437.05:3754.73 1472.97:2575.36:3967.82 +IOPATH B[12] O[12] 909.205:1589.67:2449.18 977.252:1708.64:2632.48 +IOPATH B[12] O[13] 975.6:1705.75:2628.03 1046.92:1830.44:2820.14 +IOPATH B[12] O[14] 1128.19:1972.54:3039.06 1208.97:2113.78:3256.67 +IOPATH B[12] O[15] 1077.91:1884.64:2903.64 1166.98:2040.36:3143.56 +IOPATH B[12] O[16] 1486.71:2599.39:4004.85 1610:2814.95:4336.96 +IOPATH B[12] O[17] 1535.53:2684.74:4136.34 1645.54:2877.07:4432.68 +IOPATH B[12] O[18] 1599.93:2797.34:4309.83 1723.07:3012.64:4641.54 +IOPATH B[12] O[19] 1687.82:2951:4546.57 1781.7:3115.15:4799.47 +IOPATH B[12] O[20] 1626.76:2844.25:4382.11 1710.92:2991.4:4608.82 +IOPATH B[12] O[21] 1595.33:2789.3:4297.44 1676.72:2931.6:4516.68 +IOPATH B[12] O[22] 1676.83:2931.79:4516.98 1778.08:3108.83:4789.73 +IOPATH B[12] O[23] 1706.39:2983.48:4596.61 1793.37:3135.56:4830.92 +IOPATH B[12] O[24] 1664.15:2909.62:4482.82 1741.55:3044.95:4691.33 +IOPATH B[12] O[25] 1719.7:3006.75:4632.46 1809.66:3164.03:4874.79 +IOPATH B[12] O[26] 1799.79:3146.77:4848.2 1898.26:3318.95:5113.46 +IOPATH B[12] O[27] 1795.35:3139.01:4836.23 1876.2:3280.38:5054.04 +IOPATH B[12] O[28] 1761.32:3079.52:4744.58 1838.79:3214.96:4953.26 +IOPATH B[12] O[29] 1803.19:3152.72:4857.36 1882.12:3290.73:5069.99 +IOPATH B[12] O[30] 1836.13:3210.32:4946.1 1900.04:3322.06:5118.26 +IOPATH B[12] O[31] 1860.92:3253.65:5012.86 1905.23:3331.12:5132.22 +IOPATH B[13] ACCUMCO 1051.43:1838.33:2832.29 1144.04:2000.25:3081.76 +IOPATH B[13] CO 1332.86:2330.4:3590.42 1412.48:2469.61:3804.89 +IOPATH B[13] O[13] 845.998:1479.15:2278.91 923.07:1613.91:2486.53 +IOPATH B[13] O[14] 1015.43:1775.39:2735.33 1103.52:1929.42:2972.63 +IOPATH B[13] O[15] 971.587:1698.74:2617.22 1066.66:1864.96:2873.32 +IOPATH B[13] O[16] 1426.23:2493.64:3841.92 1549.52:2709.2:4174.03 +IOPATH B[13] O[17] 1475.04:2578.99:3973.41 1585.05:2771.32:4269.74 +IOPATH B[13] O[18] 1539.45:2691.59:4146.9 1662.59:2906.89:4478.62 +IOPATH B[13] O[19] 1627.33:2845.25:4383.64 1721.22:3009.4:4636.54 +IOPATH B[13] O[20] 1566.28:2738.5:4219.18 1650.44:2885.65:4445.89 +IOPATH B[13] O[21] 1534.85:2683.55:4134.52 1616.24:2825.85:4353.75 +IOPATH B[13] O[22] 1616.35:2826.04:4354.05 1717.6:3003.07:4626.8 +IOPATH B[13] O[23] 1645.91:2877.73:4433.68 1732.89:3029.81:4667.99 +IOPATH B[13] O[24] 1603.67:2803.87:4319.89 1681.07:2939.2:4528.4 +IOPATH B[13] O[25] 1659.22:2901:4469.53 1749.18:3058.28:4711.86 +IOPATH B[13] O[26] 1739.3:3041.02:4685.27 1837.78:3213.2:4950.54 +IOPATH B[13] O[27] 1734.86:3033.25:4673.3 1815.72:3174.63:4891.11 +IOPATH B[13] O[28] 1700.84:2973.77:4581.65 1778.31:3109.21:4790.33 +IOPATH B[13] O[29] 1742.71:3046.97:4694.44 1821.64:3184.98:4907.06 +IOPATH B[13] O[30] 1775.65:3104.57:4783.17 1839.56:3216.31:4955.33 +IOPATH B[13] O[31] 1800.43:3147.9:4849.93 1844.74:3225.37:4969.29 +IOPATH B[14] ACCUMCO 1033.57:1807.11:2784.19 1085.05:1897.12:2922.87 +IOPATH B[14] CO 1315.01:2299.18:3542.32 1353.5:2366.48:3646.01 +IOPATH B[14] O[14] 930.8:1627.42:2507.35 1011.58:1768.67:2724.96 +IOPATH B[14] O[15] 898.065:1570.19:2419.17 984.534:1721.37:2652.1 +IOPATH B[14] O[16] 1378.88:2410.84:3714.36 1494.84:2613.6:4026.75 +IOPATH B[14] O[17] 1421.74:2485.79:3829.83 1526.08:2668.22:4110.89 +IOPATH B[14] O[18] 1480.47:2588.47:3988.02 1603.61:2803.77:4319.73 +IOPATH B[14] O[19] 1568.35:2742.12:4224.76 1662.23:2906.27:4477.66 +IOPATH B[14] O[20] 1507.3:2635.38:4060.29 1591.46:2782.53:4287.01 +IOPATH B[14] O[21] 1475.87:2580.42:3975.63 1557.25:2722.72:4194.87 +IOPATH B[14] O[22] 1557.36:2722.91:4195.16 1658.62:2899.95:4467.92 +IOPATH B[14] O[23] 1586.93:2774.6:4274.79 1673.91:2926.68:4509.11 +IOPATH B[14] O[24] 1544.68:2700.75:4161.01 1622.09:2836.08:4369.51 +IOPATH B[14] O[25] 1600.23:2797.87:4310.65 1690.19:2955.15:4552.97 +IOPATH B[14] O[26] 1680.32:2937.9:4526.38 1778.8:3110.07:4791.65 +IOPATH B[14] O[27] 1675.88:2930.13:4514.42 1756.74:3071.5:4732.23 +IOPATH B[14] O[28] 1641.85:2870.64:4422.76 1719.32:3006.09:4631.44 +IOPATH B[14] O[29] 1683.73:2943.85:4535.55 1762.66:3081.85:4748.17 +IOPATH B[14] O[30] 1716.67:3001.44:4624.28 1780.58:3113.18:4796.45 +IOPATH B[14] O[31] 1741.45:3044.77:4691.04 1785.76:3122.24:4810.41 +IOPATH B[15] ACCUMCO 1142.25:1997.13:3076.96 1211.06:2117.43:3262.31 +IOPATH B[15] CO 1423.69:2489.2:3835.08 1479.51:2586.79:3985.44 +IOPATH B[15] O[15] 974.055:1703.05:2623.87 1081.47:1890.86:2913.22 +IOPATH B[15] O[16] 1493.25:2610.82:4022.46 1616.54:2826.39:4354.58 +IOPATH B[15] O[17] 1542.07:2696.17:4153.96 1652.07:2888.51:4450.29 +IOPATH B[15] O[18] 1606.47:2808.78:4327.45 1729.61:3024.08:4659.16 +IOPATH B[15] O[19] 1694.36:2962.43:4564.19 1788.24:3126.58:4817.09 +IOPATH B[15] O[20] 1633.3:2855.69:4399.72 1717.46:3002.83:4626.43 +IOPATH B[15] O[21] 1601.87:2800.74:4315.06 1683.26:2943.03:4534.3 +IOPATH B[15] O[22] 1683.37:2943.22:4534.59 1784.62:3120.26:4807.35 +IOPATH B[15] O[23] 1712.93:2994.91:4614.23 1799.92:3146.99:4848.54 +IOPATH B[15] O[24] 1670.69:2921.06:4500.44 1748.09:3056.39:4708.95 +IOPATH B[15] O[25] 1726.24:3018.18:4650.08 1816.2:3175.47:4892.4 +IOPATH B[15] O[26] 1806.33:3158.21:4865.81 1904.8:3330.38:5131.08 +IOPATH B[15] O[27] 1801.89:3150.44:4853.85 1882.74:3291.81:5071.66 +IOPATH B[15] O[28] 1767.86:3090.95:4762.19 1845.33:3226.4:4970.87 +IOPATH B[15] O[29] 1809.73:3164.16:4874.98 1888.66:3302.16:5087.61 +IOPATH B[15] O[30] 1842.67:3221.75:4963.71 1906.58:3333.49:5135.88 +IOPATH B[15] O[31] 1867.46:3265.08:5030.48 1911.77:3342.56:5149.84 +IOPATH C[0] ACCUMCO 697.733:1219.92:1879.52 890.56:1557.07:2398.95 +IOPATH C[0] CO 979.169:1711.99:2637.65 1159.01:2026.42:3122.09 +IOPATH C[0] O[16] 882.374:1542.75:2376.9 1002.69:1753.12:2701.01 +IOPATH C[0] O[17] 943.769:1650.1:2542.29 1050.3:1836.36:2829.26 +IOPATH C[0] O[18] 1004.7:1756.63:2706.42 1127.84:1971.93:3038.14 +IOPATH C[0] O[19] 1092.58:1910.29:2943.16 1186.47:2074.44:3196.06 +IOPATH C[0] O[20] 1132.89:1980.76:3051.73 1217.05:2127.9:3278.43 +IOPATH C[0] O[21] 1101.46:1925.8:2967.06 1182.84:2068.1:3186.29 +IOPATH C[0] O[22] 1182.95:2068.29:3186.59 1284.21:2245.32:3459.34 +IOPATH C[0] O[23] 1212.51:2119.98:3266.22 1299.5:2272.06:3500.53 +IOPATH C[0] O[24] 1170.26:2046.09:3152.39 1247.66:2181.42:3360.9 +IOPATH C[0] O[25] 1225.81:2143.22:3302.03 1315.76:2300.5:3544.35 +IOPATH C[0] O[26] 1305.89:2283.24:3517.76 1404.37:2455.42:3783.03 +IOPATH C[0] O[27] 1301.45:2275.47:3505.8 1382.31:2416.85:3723.61 +IOPATH C[0] O[28] 1267.43:2215.99:3414.14 1344.9:2351.43:3622.82 +IOPATH C[0] O[29] 1309.3:2289.19:3526.93 1388.23:2427.2:3739.55 +IOPATH C[0] O[30] 1342.24:2346.79:3615.67 1406.15:2458.53:3787.83 +IOPATH C[0] O[31] 1367.02:2390.12:3682.42 1411.33:2467.59:3801.79 +IOPATH C[1] ACCUMCO 787.783:1377.37:2122.1 928.679:1623.71:2501.64 +IOPATH C[1] CO 1069.22:1869.44:2880.22 1197.13:2093.07:3224.77 +IOPATH C[1] O[17] 904.439:1581.33:2436.34 1009.59:1765.18:2719.59 +IOPATH C[1] O[18] 979.034:1711.75:2637.28 1099.33:1922.08:2961.33 +IOPATH C[1] O[19] 1064.07:1860.43:2866.35 1157.95:2024.58:3119.25 +IOPATH C[1] O[20] 1171.01:2047.41:3154.42 1255.17:2194.55:3381.12 +IOPATH C[1] O[21] 1139.57:1992.45:3069.74 1220.96:2134.74:3288.98 +IOPATH C[1] O[22] 1221.07:2134.94:3289.27 1322.33:2311.97:3562.03 +IOPATH C[1] O[23] 1250.63:2186.62:3368.9 1337.62:2338.71:3603.22 +IOPATH C[1] O[24] 1208.38:2112.74:3255.07 1285.78:2248.07:3463.58 +IOPATH C[1] O[25] 1263.93:2209.86:3404.71 1353.88:2367.15:3647.04 +IOPATH C[1] O[26] 1344.01:2349.89:3620.45 1442.49:2522.07:3885.72 +IOPATH C[1] O[27] 1339.57:2342.12:3608.48 1420.43:2483.5:3826.29 +IOPATH C[1] O[28] 1305.55:2282.63:3516.83 1383.01:2418.08:3725.51 +IOPATH C[1] O[29] 1347.42:2355.84:3629.62 1426.35:2493.84:3842.24 +IOPATH C[1] O[30] 1380.36:2413.43:3718.35 1444.27:2525.18:3890.51 +IOPATH C[1] O[31] 1405.14:2456.77:3785.11 1449.45:2534.24:3904.47 +IOPATH C[2] ACCUMCO 758.641:1326.42:2043.6 877.839:1534.82:2364.69 +IOPATH C[2] CO 1040.08:1818.48:2801.72 1146.29:2004.18:3087.82 +IOPATH C[2] O[18] 895.226:1565.22:2411.52 1012.06:1769.51:2726.26 +IOPATH C[2] O[19] 995.065:1739.78:2680.47 1082.54:1892.72:2916.1 +IOPATH C[2] O[20] 1120.17:1958.51:3017.46 1204.33:2105.66:3244.17 +IOPATH C[2] O[21] 1088.73:1903.56:2932.79 1170.12:2045.85:3152.02 +IOPATH C[2] O[22] 1170.23:2046.05:3152.32 1271.48:2223.08:3425.07 +IOPATH C[2] O[23] 1199.79:2097.73:3231.95 1286.78:2249.81:3466.26 +IOPATH C[2] O[24] 1157.53:2023.85:3118.12 1234.94:2159.18:3326.62 +IOPATH C[2] O[25] 1213.09:2120.97:3267.76 1303.04:2278.26:3510.08 +IOPATH C[2] O[26] 1293.17:2261:3483.49 1391.65:2433.17:3748.76 +IOPATH C[2] O[27] 1288.73:2253.23:3471.53 1369.59:2394.6:3689.34 +IOPATH C[2] O[28] 1254.71:2193.74:3379.87 1332.17:2329.19:3588.55 +IOPATH C[2] O[29] 1296.58:2266.95:3492.66 1375.51:2404.95:3705.28 +IOPATH C[2] O[30] 1329.52:2324.54:3581.39 1393.43:2436.28:3753.56 +IOPATH C[2] O[31] 1354.3:2367.87:3648.16 1398.61:2445.35:3767.52 +IOPATH C[3] ACCUMCO 728.232:1273.25:1961.68 855.506:1495.78:2304.53 +IOPATH C[3] CO 1009.67:1765.32:2719.8 1123.95:1965.14:3027.66 +IOPATH C[3] O[19] 935.066:1634.88:2518.84 1027.86:1797.12:2768.81 +IOPATH C[3] O[20] 1098.13:1919.99:2958.1 1182.29:2067.13:3184.8 +IOPATH C[3] O[21] 1066.7:1865.03:2873.42 1148.08:2007.32:3092.66 +IOPATH C[3] O[22] 1148.19:2007.52:3092.96 1249.45:2184.55:3365.71 +IOPATH C[3] O[23] 1177.76:2059.2:3172.59 1264.74:2211.28:3406.9 +IOPATH C[3] O[24] 1135.5:1985.32:3058.75 1212.9:2120.65:3267.26 +IOPATH C[3] O[25] 1191.05:2082.44:3208.39 1281:2239.72:3450.72 +IOPATH C[3] O[26] 1271.13:2222.47:3424.13 1369.61:2394.64:3689.4 +IOPATH C[3] O[27] 1266.69:2214.7:3412.16 1347.55:2356.07:3629.97 +IOPATH C[3] O[28] 1232.67:2155.21:3320.51 1310.13:2290.66:3529.19 +IOPATH C[3] O[29] 1274.54:2228.42:3433.3 1353.47:2366.42:3645.92 +IOPATH C[3] O[30] 1307.48:2286.01:3522.03 1371.39:2397.75:3694.19 +IOPATH C[3] O[31] 1332.26:2329.34:3588.79 1376.57:2406.81:3708.15 +IOPATH C[4] ACCUMCO 734.061:1283.44:1977.38 907.445:1586.59:2444.44 +IOPATH C[4] CO 1015.5:1775.51:2735.51 1175.89:2055.95:3167.57 +IOPATH C[4] O[20] 919.778:1608.15:2477.66 999.201:1747.02:2691.61 +IOPATH C[4] O[21] 900.87:1575.09:2426.73 977.197:1708.54:2632.33 +IOPATH C[4] O[22] 977.307:1708.74:2632.63 1078.56:1885.77:2905.38 +IOPATH C[4] O[23] 1006.87:1760.42:2712.26 1093.85:1912.51:2946.57 +IOPATH C[4] O[24] 1073.97:1877.74:2893.01 1151.37:2013.06:3101.51 +IOPATH C[4] O[25] 1129.51:1974.85:3042.63 1219.47:2132.14:3284.96 +IOPATH C[4] O[26] 1209.6:2114.88:3258.37 1308.07:2287.05:3523.64 +IOPATH C[4] O[27] 1205.16:2107.11:3246.4 1286.02:2248.49:3464.22 +IOPATH C[4] O[28] 1171.11:2047.59:3154.7 1248.58:2183.04:3363.38 +IOPATH C[4] O[29] 1212.99:2120.8:3267.49 1291.92:2258.8:3480.11 +IOPATH C[4] O[30] 1245.93:2178.39:3356.22 1309.84:2290.14:3528.39 +IOPATH C[4] O[31] 1270.71:2221.72:3422.98 1315.02:2299.2:3542.35 +IOPATH C[5] ACCUMCO 742.606:1298.38:2000.4 902.167:1577.36:2430.22 +IOPATH C[5] CO 1024.04:1790.45:2758.52 1170.61:2046.72:3153.35 +IOPATH C[5] O[21] 828.243:1448.11:2231.09 904.631:1581.67:2436.86 +IOPATH C[5] O[22] 920.764:1609.88:2480.32 1018.16:1780.16:2742.68 +IOPATH C[5] O[23] 946.467:1654.82:2549.56 1033.45:1806.9:2783.87 +IOPATH C[5] O[24] 1068.69:1868.51:2878.8 1146.09:2003.84:3087.3 +IOPATH C[5] O[25] 1124.24:1965.63:3028.43 1214.2:2122.92:3270.75 +IOPATH C[5] O[26] 1204.33:2105.66:3244.16 1302.8:2277.83:3509.43 +IOPATH C[5] O[27] 1199.88:2097.89:3232.2 1280.74:2239.26:3450.01 +IOPATH C[5] O[28] 1165.84:2038.37:3140.49 1243.31:2173.82:3349.17 +IOPATH C[5] O[29] 1207.71:2111.58:3253.28 1286.64:2249.58:3465.9 +IOPATH C[5] O[30] 1240.65:2169.17:3342.02 1304.56:2280.91:3514.18 +IOPATH C[5] O[31] 1265.44:2212.5:3408.78 1309.75:2289.97:3528.14 +IOPATH C[6] ACCUMCO 739.631:1293.18:1992.39 878.478:1535.94:2366.41 +IOPATH C[6] CO 1021.07:1785.25:2750.51 1146.93:2005.3:3089.54 +IOPATH C[6] O[22] 864.107:1510.82:2327.7 960.01:1678.49:2586.04 +IOPATH C[6] O[23] 905.681:1583.5:2439.69 987.308:1726.22:2659.57 +IOPATH C[6] O[24] 1045:1827.1:2814.99 1122.4:1962.43:3023.49 +IOPATH C[6] O[25] 1100.55:1924.21:2964.61 1190.51:2081.5:3206.94 +IOPATH C[6] O[26] 1180.64:2064.24:3180.35 1279.11:2236.41:3445.62 +IOPATH C[6] O[27] 1176.19:2056.47:3168.38 1257.05:2197.85:3386.2 +IOPATH C[6] O[28] 1142.15:1996.95:3076.68 1219.62:2132.4:3285.36 +IOPATH C[6] O[29] 1184.02:2070.16:3189.47 1262.95:2208.16:3402.09 +IOPATH C[6] O[30] 1216.96:2127.75:3278.2 1280.87:2239.5:3450.37 +IOPATH C[6] O[31] 1241.75:2171.09:3344.97 1286.06:2248.56:3464.33 +IOPATH C[7] ACCUMCO 706.833:1235.84:1904.04 841.781:1471.78:2267.56 +IOPATH C[7] CO 988.27:1727.9:2662.16 1110.23:1941.14:2990.69 +IOPATH C[7] O[23] 830.218:1451.56:2236.41 916.17:1601.84:2467.94 +IOPATH C[7] O[24] 1008.9:1763.97:2717.72 1086.3:1899.29:2926.22 +IOPATH C[7] O[25] 1064.44:1861.08:2867.35 1154.4:2018.37:3109.67 +IOPATH C[7] O[26] 1144.53:2001.11:3083.09 1243:2173.28:3348.35 +IOPATH C[7] O[27] 1140.09:1993.34:3071.12 1220.94:2134.72:3288.93 +IOPATH C[7] O[28] 1106.04:1933.82:2979.41 1183.51:2069.26:3188.09 +IOPATH C[7] O[29] 1147.91:2007.02:3092.2 1226.84:2145.03:3304.82 +IOPATH C[7] O[30] 1180.85:2064.62:3180.93 1244.76:2176.36:3353.09 +IOPATH C[7] O[31] 1205.64:2107.95:3247.69 1249.95:2185.42:3367.06 +IOPATH C[8] ACCUMCO 696.682:1218.09:1876.69 802.67:1403.4:2162.2 +IOPATH C[8] CO 978.119:1710.15:2634.82 1071.12:1872.76:2885.33 +IOPATH C[8] O[24] 820.285:1434.2:2209.65 892.901:1561.16:2405.26 +IOPATH C[8] O[25] 887.494:1551.71:2390.7 973.196:1701.55:2621.56 +IOPATH C[8] O[26] 963.325:1684.29:2594.97 1061.8:1856.46:2860.23 +IOPATH C[8] O[27] 958.883:1676.52:2583 1039.74:1817.9:2800.81 +IOPATH C[8] O[28] 1032.76:1805.69:2782.01 1110.23:1941.13:2990.68 +IOPATH C[8] O[29] 1074.63:1878.89:2894.78 1153.56:2016.89:3107.4 +IOPATH C[8] O[30] 1107.57:1936.48:2983.52 1171.48:2048.23:3155.68 +IOPATH C[8] O[31] 1132.35:1979.81:3050.28 1176.66:2057.29:3169.64 +IOPATH C[9] ACCUMCO 694.871:1214.92:1871.81 813.898:1423.03:2192.44 +IOPATH C[9] CO 976.307:1706.99:2629.94 1082.35:1892.39:2915.58 +IOPATH C[9] O[25] 817.864:1429.96:2203.13 903.739:1580.11:2434.46 +IOPATH C[9] O[26] 909.984:1591.03:2451.28 1004.61:1756.48:2706.19 +IOPATH C[9] O[27] 901.696:1576.54:2428.95 982.554:1717.91:2646.76 +IOPATH C[9] O[28] 1043.99:1825.32:2812.25 1121.45:1960.76:3020.92 +IOPATH C[9] O[29] 1085.85:1898.52:2925.03 1164.78:2036.52:3137.65 +IOPATH C[9] O[30] 1118.79:1956.11:3013.76 1182.7:2067.86:3185.92 +IOPATH C[9] O[31] 1143.58:1999.44:3080.52 1187.89:2076.92:3199.88 +IOPATH C[10] ACCUMCO 707.695:1237.34:1906.36 799.21:1397.35:2152.88 +IOPATH C[10] CO 989.132:1729.41:2664.48 1067.66:1866.71:2876.02 +IOPATH C[10] O[26] 858.133:1500.37:2311.6 951.155:1663.01:2562.18 +IOPATH C[10] O[27] 866.438:1514.89:2333.98 941.102:1645.43:2535.1 +IOPATH C[10] O[28] 1024.66:1791.52:2760.18 1102.12:1926.96:2968.85 +IOPATH C[10] O[29] 1066.52:1864.72:2872.96 1145.45:2002.73:3085.58 +IOPATH C[10] O[30] 1099.46:1922.32:2961.69 1163.37:2034.06:3133.85 +IOPATH C[10] O[31] 1124.25:1965.65:3028.45 1168.56:2043.12:3147.81 +IOPATH C[11] ACCUMCO 676.246:1182.36:1821.64 771.905:1349.61:2079.33 +IOPATH C[11] CO 957.682:1674.42:2579.76 1040.35:1818.97:2802.46 +IOPATH C[11] O[27] 787.641:1377.12:2121.72 867.428:1516.62:2336.64 +IOPATH C[11] O[28] 981.792:1716.58:2644.71 1059.26:1852.02:2853.38 +IOPATH C[11] O[29] 1023.66:1789.78:2757.49 1102.59:1927.78:2970.11 +IOPATH C[11] O[30] 1056.6:1847.37:2846.22 1120.51:1959.11:3018.38 +IOPATH C[11] O[31] 1081.38:1890.7:2912.98 1125.69:1968.17:3032.34 +IOPATH C[12] ACCUMCO 680.53:1189.85:1833.18 758.179:1325.61:2042.35 +IOPATH C[12] CO 961.967:1681.91:2591.31 1026.63:1794.97:2765.49 +IOPATH C[12] O[28] 796.509:1392.63:2145.6 871.754:1524.18:2348.29 +IOPATH C[12] O[29] 849.99:1486.13:2289.67 927.298:1621.3:2497.92 +IOPATH C[12] O[30] 881.307:1540.89:2374.03 945.218:1652.63:2546.19 +IOPATH C[12] O[31] 906.09:1584.22:2440.79 950.401:1661.69:2560.15 +IOPATH C[13] ACCUMCO 713.906:1248.2:1923.09 797.637:1394.6:2148.64 +IOPATH C[13] CO 995.342:1740.27:2681.21 1066.08:1863.96:2871.78 +IOPATH C[13] O[29] 815.835:1426.42:2197.66 887.57:1551.84:2390.9 +IOPATH C[13] O[30] 860.084:1503.78:2316.86 917.664:1604.46:2471.97 +IOPATH C[13] O[31] 878.536:1536.04:2366.56 923.86:1615.29:2488.66 +IOPATH C[14] ACCUMCO 697.189:1218.97:1878.06 751.917:1314.66:2025.48 +IOPATH C[14] CO 978.625:1711.04:2636.18 1020.37:1784.02:2748.62 +IOPATH C[14] O[30] 782.093:1367.42:2106.77 839.3:1467.44:2260.87 +IOPATH C[14] O[31] 822.005:1437.2:2214.28 863.408:1509.59:2325.81 +IOPATH C[15] ACCUMCO 669.378:1170.35:1803.14 724.25:1266.29:1950.95 +IOPATH C[15] CO 950.815:1662.42:2561.27 992.698:1735.65:2674.09 +IOPATH C[15] O[31] 743.801:1300.47:2003.62 789.335:1380.08:2126.28 +IOPATH CI ACCUMCO 591.907:1034.9:1594.45 626.724:1095.77:1688.24 +IOPATH CI CO 873.343:1526.96:2352.58 895.172:1565.13:2411.38 +IOPATH CI O[0] 537.275:939.379:1447.29 595.117:1040.51:1603.1 +IOPATH CI O[1] 566.211:989.971:1525.24 613.906:1073.36:1653.71 +IOPATH CI O[2] 622.786:1088.89:1677.64 684.401:1196.62:1843.61 +IOPATH CI O[3] 670.164:1171.72:1805.26 734.673:1284.51:1979.03 +IOPATH CI O[4] 635.313:1110.79:1711.38 697.682:1219.84:1879.39 +IOPATH CI O[5] 696.358:1217.52:1875.82 768.491:1343.64:2070.13 +IOPATH CI O[6] 765.8:1338.93:2062.88 843.214:1474.29:2271.42 +IOPATH CI O[7] 794.352:1388.85:2139.79 867.28:1516.36:2336.24 +IOPATH CI O[8] 789.25:1379.94:2126.05 877.912:1534.95:2364.88 +IOPATH CI O[9] 853.015:1491.42:2297.82 951.396:1663.43:2562.83 +IOPATH CI O[10] 885.467:1548.16:2385.24 973.996:1702.95:2623.71 +IOPATH CI O[11] 996.786:1742.79:2685.1 1082.52:1892.7:2916.05 +IOPATH CI O[12] 895.978:1566.54:2413.55 971.388:1698.39:2616.69 +IOPATH CI O[13] 951.07:1662.86:2561.95 1030.2:1801.21:2775.11 +IOPATH CI O[14] 1110.84:1942.21:2992.35 1198.94:2096.24:3229.65 +IOPATH CI O[15] 1067:1865.56:2874.25 1162.08:2031.79:3130.35 +IOPATH CI O[16] 937.21:1638.63:2524.62 1053.18:1841.39:2837 +IOPATH CI O[17] 980.077:1713.58:2640.09 1082.46:1892.6:2915.9 +IOPATH CI O[18] 1036.84:1812.82:2793 1152.36:2014.81:3104.19 +IOPATH CI O[19] 1119.45:1957.26:3015.53 1205.87:2108.37:3248.34 +IOPATH CI O[20] 1054.05:1842.92:2839.36 1133.13:1981.17:3052.37 +IOPATH CI O[21] 1017.54:1779.07:2741 1098.92:1921.37:2960.23 +IOPATH CI O[22] 1099.03:1921.56:2960.53 1200.29:2098.6:3233.28 +IOPATH CI O[23] 1128.59:1973.25:3040.16 1215.58:2125.33:3274.47 +IOPATH CI O[24] 1086.35:1899.39:2926.37 1163.76:2034.73:3134.88 +IOPATH CI O[25] 1141.9:1996.52:3076.02 1231.86:2153.8:3318.34 +IOPATH CI O[26] 1221.99:2136.54:3291.75 1320.47:2308.72:3557.02 +IOPATH CI O[27] 1217.55:2128.78:3279.78 1298.41:2270.15:3497.6 +IOPATH CI O[28] 1183.52:2069.29:3188.13 1260.99:2204.74:3396.81 +IOPATH CI O[29] 1225.39:2142.5:3300.92 1304.33:2280.5:3513.54 +IOPATH CI O[30] 1258.33:2200.09:3389.65 1322.25:2311.83:3561.81 +IOPATH CI O[31] 1283.12:2243.42:3456.41 1327.43:2320.89:3575.77 +IOPATH D[0] ACCUMCO 1002.98:1753.62:2701.78 1158.34:2025.25:3120.28 +IOPATH D[0] CO 1284.41:2245.69:3459.9 1426.79:2494.61:3843.42 +IOPATH D[0] O[0] 771.695:1349.24:2078.76 834.853:1459.67:2248.89 +IOPATH D[0] O[1] 819.246:1432.38:2206.85 870.889:1522.67:2345.96 +IOPATH D[0] O[2] 879.772:1538.2:2369.89 941.386:1645.93:2535.87 +IOPATH D[0] O[3] 927.15:1621.04:2497.52 991.658:1733.83:2671.29 +IOPATH D[0] O[4] 993.395:1736.86:2675.97 1055.76:1845.91:2843.97 +IOPATH D[0] O[5] 1054.44:1843.59:2840.4 1126.57:1969.71:3034.71 +IOPATH D[0] O[6] 1123.88:1965:3027.46 1201.29:2100.36:3236 +IOPATH D[0] O[7] 1152.43:2014.93:3104.37 1225.36:2142.43:3300.82 +IOPATH D[0] O[8] 1147.32:2005.99:3090.6 1235.98:2161.01:3329.44 +IOPATH D[0] O[9] 1211.09:2117.48:3262.37 1309.47:2289.49:3527.38 +IOPATH D[0] O[10] 1243.54:2174.22:3349.79 1332.07:2329:3588.26 +IOPATH D[0] O[11] 1354.86:2368.85:3649.66 1440.59:2518.75:3880.61 +IOPATH D[0] O[12] 1254.05:2192.59:3378.1 1329.46:2324.44:3581.24 +IOPATH D[0] O[13] 1309.14:2288.92:3526.51 1388.27:2427.27:3739.66 +IOPATH D[0] O[14] 1468.91:2568.27:3956.9 1557.01:2722.29:4194.21 +IOPATH D[0] O[15] 1425.07:2491.61:3838.8 1520.15:2657.84:4094.91 +IOPATH D[0] O[16] 1440.53:2518.64:3880.44 1563.82:2734.2:4212.55 +IOPATH D[0] O[17] 1489.34:2603.99:4011.94 1599.35:2796.32:4308.26 +IOPATH D[0] O[18] 1553.75:2716.59:4185.43 1676.89:2931.89:4517.14 +IOPATH D[0] O[19] 1641.63:2870.25:4422.16 1735.52:3034.4:4675.06 +IOPATH D[0] O[20] 1580.58:2763.5:4257.7 1664.74:2910.65:4484.41 +IOPATH D[0] O[21] 1549.15:2708.55:4173.04 1630.54:2850.85:4392.27 +IOPATH D[0] O[22] 1630.65:2851.04:4392.57 1731.9:3028.08:4665.32 +IOPATH D[0] O[23] 1660.21:2902.73:4472.2 1747.19:3054.81:4706.51 +IOPATH D[0] O[24] 1617.97:2828.87:4358.41 1695.37:2964.21:4566.92 +IOPATH D[0] O[25] 1673.52:2926:4508.05 1763.47:3083.28:4750.38 +IOPATH D[0] O[26] 1753.6:3066.02:4723.79 1852.08:3238.2:4989.06 +IOPATH D[0] O[27] 1749.16:3058.26:4711.82 1830.02:3199.63:4929.63 +IOPATH D[0] O[28] 1715.14:2998.77:4620.17 1792.61:3134.21:4828.85 +IOPATH D[0] O[29] 1757.01:3071.97:4732.96 1835.94:3209.98:4945.58 +IOPATH D[0] O[30] 1789.95:3129.57:4821.69 1853.86:3241.31:4993.85 +IOPATH D[0] O[31] 1814.73:3172.9:4888.45 1859.04:3250.37:5007.81 +IOPATH D[1] ACCUMCO 1082.67:1892.96:2916.46 1189.02:2078.9:3202.94 +IOPATH D[1] CO 1364.11:2385.03:3674.58 1457.47:2548.26:3926.08 +IOPATH D[1] O[1] 775.694:1356.23:2089.53 824.765:1442.03:2221.72 +IOPATH D[1] O[2] 849.244:1484.83:2287.66 907.34:1586.4:2444.15 +IOPATH D[1] O[3] 893.101:1561.51:2405.8 957.61:1674.3:2579.57 +IOPATH D[1] O[4] 1024.08:1790.52:2758.63 1086.45:1899.56:2926.63 +IOPATH D[1] O[5] 1085.13:1897.25:2923.07 1157.26:2023.37:3117.38 +IOPATH D[1] O[6] 1154.57:2018.66:3110.12 1231.98:2154.01:3318.66 +IOPATH D[1] O[7] 1183.12:2068.58:3187.04 1256.05:2196.09:3383.49 +IOPATH D[1] O[8] 1178.01:2059.64:3173.27 1266.67:2214.66:3412.1 +IOPATH D[1] O[9] 1241.77:2171.13:3345.04 1340.15:2343.14:3610.05 +IOPATH D[1] O[10] 1274.22:2227.87:3432.45 1362.75:2382.66:3670.93 +IOPATH D[1] O[11] 1385.54:2422.5:3732.32 1471.28:2572.4:3963.27 +IOPATH D[1] O[12] 1284.74:2246.25:3460.77 1360.15:2378.1:3663.91 +IOPATH D[1] O[13] 1339.83:2342.57:3609.17 1418.96:2480.92:3822.33 +IOPATH D[1] O[14] 1499.6:2621.92:4039.57 1587.7:2775.95:4276.87 +IOPATH D[1] O[15] 1455.76:2545.27:3921.46 1550.83:2711.5:4177.57 +IOPATH D[1] O[16] 1471.21:2572.29:3963.1 1594.51:2787.86:4295.22 +IOPATH D[1] O[17] 1520.03:2657.64:4094.6 1630.04:2849.98:4390.93 +IOPATH D[1] O[18] 1584.44:2770.25:4268.09 1707.58:2985.55:4599.8 +IOPATH D[1] O[19] 1672.32:2923.9:4504.82 1766.2:3088.05:4757.72 +IOPATH D[1] O[20] 1611.26:2817.16:4340.36 1695.43:2964.31:4567.07 +IOPATH D[1] O[21] 1579.84:2762.2:4255.7 1661.22:2904.5:4474.93 +IOPATH D[1] O[22] 1661.33:2904.69:4475.23 1762.59:3081.73:4747.98 +IOPATH D[1] O[23] 1690.89:2956.38:4554.86 1777.88:3108.46:4789.17 +IOPATH D[1] O[24] 1648.65:2882.53:4441.07 1726.06:3017.86:4649.58 +IOPATH D[1] O[25] 1704.2:2979.65:4590.72 1794.16:3136.93:4833.04 +IOPATH D[1] O[26] 1784.29:3119.68:4806.45 1882.77:3291.85:5071.72 +IOPATH D[1] O[27] 1779.85:3111.91:4794.48 1860.71:3253.28:5012.29 +IOPATH D[1] O[28] 1745.82:3052.42:4702.83 1823.29:3187.87:4911.51 +IOPATH D[1] O[29] 1787.69:3125.63:4815.62 1866.63:3263.63:5028.24 +IOPATH D[1] O[30] 1820.63:3183.22:4904.35 1884.55:3294.96:5076.51 +IOPATH D[1] O[31] 1845.42:3226.55:4971.11 1889.73:3304.02:5090.47 +IOPATH D[2] ACCUMCO 1063.49:1859.41:2864.77 1148.89:2008.74:3094.85 +IOPATH D[2] CO 1344.92:2351.48:3622.9 1417.34:2478.1:3817.98 +IOPATH D[2] O[2] 775.993:1356.76:2090.34 832.998:1456.42:2243.9 +IOPATH D[2] O[3] 835.527:1460.85:2250.71 895.315:1565.38:2411.76 +IOPATH D[2] O[4] 983.955:1720.36:2650.54 1046.32:1829.4:2818.53 +IOPATH D[2] O[5] 1045:1827.08:2814.97 1117.13:1953.21:3009.28 +IOPATH D[2] O[6] 1114.44:1948.5:3002.03 1191.85:2083.85:3210.57 +IOPATH D[2] O[7] 1142.99:1998.42:3078.94 1215.92:2125.93:3275.39 +IOPATH D[2] O[8] 1137.88:1989.48:3065.17 1226.54:2144.5:3304.01 +IOPATH D[2] O[9] 1201.64:2100.97:3236.94 1300.02:2272.98:3501.95 +IOPATH D[2] O[10] 1234.1:2157.71:3324.36 1322.62:2312.49:3562.83 +IOPATH D[2] O[11] 1345.42:2352.34:3624.23 1431.15:2502.24:3855.18 +IOPATH D[2] O[12] 1244.61:2176.09:3352.67 1320.02:2307.94:3555.81 +IOPATH D[2] O[13] 1299.7:2272.41:3501.07 1378.83:2410.76:3714.23 +IOPATH D[2] O[14] 1459.47:2551.76:3931.47 1547.57:2705.79:4168.78 +IOPATH D[2] O[15] 1415.63:2475.11:3813.37 1510.7:2641.33:4069.47 +IOPATH D[2] O[16] 1431.09:2502.13:3855 1554.38:2717.69:4187.12 +IOPATH D[2] O[17] 1479.9:2587.48:3986.5 1589.91:2779.82:4282.83 +IOPATH D[2] O[18] 1544.31:2700.08:4159.99 1667.45:2915.38:4491.7 +IOPATH D[2] O[19] 1632.19:2853.74:4396.72 1726.07:3017.89:4649.63 +IOPATH D[2] O[20] 1571.14:2746.99:4232.26 1655.3:2894.14:4458.98 +IOPATH D[2] O[21] 1539.71:2692.04:4147.6 1621.09:2834.34:4366.84 +IOPATH D[2] O[22] 1621.2:2834.53:4367.13 1722.46:3011.57:4639.89 +IOPATH D[2] O[23] 1650.77:2886.22:4446.77 1737.75:3038.3:4681.08 +IOPATH D[2] O[24] 1608.52:2812.36:4332.98 1685.93:2947.7:4541.48 +IOPATH D[2] O[25] 1664.07:2909.49:4482.62 1754.03:3066.77:4724.94 +IOPATH D[2] O[26] 1744.16:3049.51:4698.35 1842.64:3221.69:4963.62 +IOPATH D[2] O[27] 1739.72:3041.75:4686.39 1820.58:3183.12:4904.2 +IOPATH D[2] O[28] 1705.7:2982.26:4594.73 1783.16:3117.7:4803.41 +IOPATH D[2] O[29] 1747.57:3055.47:4707.52 1826.5:3193.47:4920.14 +IOPATH D[2] O[30] 1780.51:3113.06:4796.25 1844.42:3224.8:4968.42 +IOPATH D[2] O[31] 1805.29:3156.39:4863.01 1849.6:3233.86:4982.38 +IOPATH D[3] ACCUMCO 1027.58:1796.64:2768.06 1123.19:1963.8:3025.6 +IOPATH D[3] CO 1309.02:2288.71:3526.19 1391.64:2433.16:3748.74 +IOPATH D[3] O[3] 766.719:1340.54:2065.36 831.284:1453.43:2239.28 +IOPATH D[3] O[4] 958.673:1676.16:2582.43 1021.04:1785.2:2750.43 +IOPATH D[3] O[5] 1019.71:1782.88:2746.86 1091.85:1909:2941.17 +IOPATH D[3] O[6] 1089.16:1904.29:2933.92 1166.57:2039.65:3142.46 +IOPATH D[3] O[7] 1117.71:1954.21:3010.83 1190.64:2081.72:3207.28 +IOPATH D[3] O[8] 1112.59:1945.27:2997.06 1201.26:2100.29:3235.9 +IOPATH D[3] O[9] 1176.36:2056.76:3168.83 1274.74:2228.77:3433.84 +IOPATH D[3] O[10] 1208.81:2113.5:3256.25 1297.34:2268.29:3494.72 +IOPATH D[3] O[11] 1320.13:2308.13:3556.12 1405.87:2458.04:3787.07 +IOPATH D[3] O[12] 1219.32:2131.88:3284.56 1294.73:2263.73:3487.7 +IOPATH D[3] O[13] 1274.41:2228.2:3432.96 1353.54:2366.55:3646.12 +IOPATH D[3] O[14] 1434.19:2507.55:3863.36 1522.28:2661.58:4100.67 +IOPATH D[3] O[15] 1390.35:2430.9:3745.26 1485.42:2597.13:4001.36 +IOPATH D[3] O[16] 1405.38:2457.19:3785.76 1528.67:2672.75:4117.88 +IOPATH D[3] O[17] 1454.2:2542.54:3917.26 1564.2:2734.87:4213.59 +IOPATH D[3] O[18] 1518.6:2655.14:4090.75 1641.74:2870.44:4422.46 +IOPATH D[3] O[19] 1606.49:2808.8:4327.48 1700.37:2972.95:4580.38 +IOPATH D[3] O[20] 1545.43:2702.05:4163.02 1629.59:2849.2:4389.73 +IOPATH D[3] O[21] 1514:2647.1:4078.36 1595.39:2789.4:4297.59 +IOPATH D[3] O[22] 1595.5:2789.59:4297.89 1696.75:2966.62:4570.64 +IOPATH D[3] O[23] 1625.06:2841.28:4377.52 1712.04:2993.36:4611.83 +IOPATH D[3] O[24] 1582.82:2767.42:4263.74 1660.22:2902.76:4472.24 +IOPATH D[3] O[25] 1638.37:2864.55:4413.37 1728.33:3021.83:4655.7 +IOPATH D[3] O[26] 1718.46:3004.57:4629.11 1816.93:3176.75:4894.38 +IOPATH D[3] O[27] 1714.02:2996.81:4617.14 1794.87:3138.18:4834.96 +IOPATH D[3] O[28] 1679.99:2937.32:4525.49 1757.46:3072.76:4734.17 +IOPATH D[3] O[29] 1721.86:3010.52:4638.28 1800.79:3148.53:4850.9 +IOPATH D[3] O[30] 1754.8:3068.12:4727.01 1818.71:3179.86:4899.17 +IOPATH D[3] O[31] 1779.58:3111.45:4793.77 1823.89:3188.92:4913.13 +IOPATH D[4] ACCUMCO 1043.09:1823.75:2809.83 1174.24:2053.05:3163.12 +IOPATH D[4] CO 1324.53:2315.82:3567.95 1442.69:2522.41:3886.25 +IOPATH D[4] O[4] 783.475:1369.84:2110.49 843.952:1475.58:2273.4 +IOPATH D[4] O[5] 855.703:1496.12:2305.06 927.006:1620.79:2497.13 +IOPATH D[4] O[6] 924.313:1616.08:2489.88 1001.73:1751.43:2698.41 +IOPATH D[4] O[7] 952.865:1666:2566.79 1025.79:1793.51:2763.24 +IOPATH D[4] O[8] 1052.56:1840.3:2835.33 1141.21:1995.31:3074.16 +IOPATH D[4] O[9] 1116.32:1951.78:3007.09 1214.7:2123.79:3272.1 +IOPATH D[4] O[10] 1148.77:2008.52:3094.5 1237.3:2163.3:3332.98 +IOPATH D[4] O[11] 1260.09:2203.15:3394.37 1345.82:2353.05:3625.32 +IOPATH D[4] O[12] 1159.26:2026.87:3122.77 1234.67:2158.71:3325.91 +IOPATH D[4] O[13] 1214.35:2123.19:3271.17 1293.48:2261.54:3484.33 +IOPATH D[4] O[14] 1374.13:2402.54:3701.57 1462.22:2556.57:3938.87 +IOPATH D[4] O[15] 1330.29:2325.89:3583.47 1425.36:2492.12:3839.57 +IOPATH D[4] O[16] 1456.43:2546.44:3923.27 1579.72:2762:4255.39 +IOPATH D[4] O[17] 1505.25:2631.79:4054.77 1615.25:2824.13:4351.1 +IOPATH D[4] O[18] 1569.65:2744.4:4228.26 1692.79:2959.7:4559.97 +IOPATH D[4] O[19] 1657.53:2898.05:4464.99 1751.42:3062.2:4717.9 +IOPATH D[4] O[20] 1596.48:2791.31:4300.53 1680.64:2938.45:4527.24 +IOPATH D[4] O[21] 1565.05:2736.35:4215.87 1646.44:2878.65:4435.1 +IOPATH D[4] O[22] 1646.55:2878.84:4435.4 1747.8:3055.88:4708.15 +IOPATH D[4] O[23] 1676.11:2930.53:4515.04 1763.09:3082.61:4749.35 +IOPATH D[4] O[24] 1633.87:2856.67:4401.25 1711.27:2992.01:4609.75 +IOPATH D[4] O[25] 1689.42:2953.8:4550.89 1779.38:3111.08:4793.21 +IOPATH D[4] O[26] 1769.51:3093.83:4766.62 1867.98:3266:5031.89 +IOPATH D[4] O[27] 1765.06:3086.06:4754.66 1845.92:3227.43:4972.47 +IOPATH D[4] O[28] 1731.04:3026.57:4663 1808.51:3162.02:4871.68 +IOPATH D[4] O[29] 1772.91:3099.78:4775.79 1851.84:3237.78:4988.41 +IOPATH D[4] O[30] 1805.85:3157.37:4864.52 1869.76:3269.11:5036.68 +IOPATH D[4] O[31] 1830.63:3200.7:4931.28 1874.94:3278.17:5050.65 +IOPATH D[5] ACCUMCO 1073.33:1876.62:2891.29 1200.62:2099.19:3234.19 +IOPATH D[5] CO 1354.76:2368.69:3649.41 1469.07:2568.54:3957.33 +IOPATH D[5] O[5] 808.682:1413.91:2178.4 875.497:1530.73:2358.38 +IOPATH D[5] O[6] 889.349:1554.95:2395.69 962.268:1682.44:2592.12 +IOPATH D[5] O[7] 913.197:1596.64:2459.93 986.331:1724.51:2656.94 +IOPATH D[5] O[8] 1078.95:1886.45:2906.44 1167.61:2041.47:3145.26 +IOPATH D[5] O[9] 1142.71:1997.93:3078.19 1241.09:2169.94:3343.21 +IOPATH D[5] O[10] 1175.16:2054.67:3165.61 1263.69:2209.46:3404.09 +IOPATH D[5] O[11] 1286.48:2249.31:3465.48 1372.22:2399.21:3696.43 +IOPATH D[5] O[12] 1185.66:2073.02:3193.88 1261.07:2204.87:3397.01 +IOPATH D[5] O[13] 1240.75:2169.34:3342.28 1319.88:2307.69:3555.44 +IOPATH D[5] O[14] 1400.52:2448.69:3772.67 1488.62:2602.72:4009.98 +IOPATH D[5] O[15] 1356.68:2372.04:3654.57 1451.75:2538.27:3910.68 +IOPATH D[5] O[16] 1482.82:2592.57:3994.35 1606.11:2808.14:4326.46 +IOPATH D[5] O[17] 1531.63:2677.92:4125.84 1641.64:2870.26:4422.18 +IOPATH D[5] O[18] 1596.04:2790.53:4299.33 1719.18:3005.83:4631.05 +IOPATH D[5] O[19] 1683.92:2944.18:4536.07 1777.8:3108.33:4788.97 +IOPATH D[5] O[20] 1622.87:2837.44:4371.61 1707.03:2984.59:4598.32 +IOPATH D[5] O[21] 1591.44:2782.49:4286.94 1672.82:2924.78:4506.18 +IOPATH D[5] O[22] 1672.93:2924.98:4506.48 1774.19:3102.01:4779.23 +IOPATH D[5] O[23] 1702.49:2976.66:4586.11 1789.48:3128.74:4820.42 +IOPATH D[5] O[24] 1660.25:2902.81:4472.32 1737.66:3038.14:4680.83 +IOPATH D[5] O[25] 1715.8:2999.93:4621.96 1805.76:3157.22:4864.29 +IOPATH D[5] O[26] 1795.89:3139.96:4837.7 1894.37:3312.13:5102.97 +IOPATH D[5] O[27] 1791.45:3132.19:4825.73 1872.31:3273.56:5043.54 +IOPATH D[5] O[28] 1757.42:3072.7:4734.08 1834.89:3208.15:4942.76 +IOPATH D[5] O[29] 1799.29:3145.91:4846.87 1878.23:3283.91:5059.49 +IOPATH D[5] O[30] 1832.23:3203.5:4935.6 1896.15:3315.24:5107.76 +IOPATH D[5] O[31] 1857.02:3246.83:5002.36 1901.33:3324.31:5121.72 +IOPATH D[6] ACCUMCO 1042.41:1822.56:2808 1148.05:2007.26:3092.56 +IOPATH D[6] CO 1323.85:2314.63:3566.12 1416.5:2476.62:3815.7 +IOPATH D[6] O[6] 807.129:1411.19:2174.21 879.601:1537.91:2369.43 +IOPATH D[6] O[7] 848.752:1483.97:2286.33 915.702:1601.03:2466.68 +IOPATH D[6] O[8] 1026.38:1794.53:2764.81 1115.04:1949.54:3003.64 +IOPATH D[6] O[9] 1090.14:1906.01:2936.57 1188.52:2078.02:3201.58 +IOPATH D[6] O[10] 1122.59:1962.75:3023.99 1211.12:2117.53:3262.46 +IOPATH D[6] O[11] 1233.91:2157.38:3323.86 1319.65:2307.29:3554.81 +IOPATH D[6] O[12] 1133.08:1981.1:3052.25 1208.49:2112.94:3255.39 +IOPATH D[6] O[13] 1188.17:2077.42:3200.66 1267.3:2215.77:3413.81 +IOPATH D[6] O[14] 1347.95:2356.77:3631.05 1436.04:2510.8:3868.35 +IOPATH D[6] O[15] 1304.11:2280.12:3512.95 1399.18:2446.35:3769.06 +IOPATH D[6] O[16] 1430.24:2500.65:3852.72 1553.53:2716.21:4184.84 +IOPATH D[6] O[17] 1479.05:2586:3984.22 1589.06:2778.33:4280.55 +IOPATH D[6] O[18] 1543.46:2698.6:4157.71 1666.6:2913.9:4489.42 +IOPATH D[6] O[19] 1631.34:2852.26:4394.44 1725.23:3016.41:4647.34 +IOPATH D[6] O[20] 1570.29:2745.51:4229.98 1654.45:2892.66:4456.69 +IOPATH D[6] O[21] 1538.86:2690.56:4145.32 1620.25:2832.86:4364.55 +IOPATH D[6] O[22] 1620.36:2833.05:4364.85 1721.61:3010.09:4637.6 +IOPATH D[6] O[23] 1649.92:2884.74:4444.48 1736.9:3036.82:4678.79 +IOPATH D[6] O[24] 1607.68:2810.88:4330.69 1685.08:2946.21:4539.2 +IOPATH D[6] O[25] 1663.23:2908.01:4480.33 1753.19:3065.29:4722.66 +IOPATH D[6] O[26] 1743.31:3048.03:4696.07 1841.79:3220.21:4961.34 +IOPATH D[6] O[27] 1738.87:3040.27:4684.1 1819.73:3181.64:4901.92 +IOPATH D[6] O[28] 1704.85:2980.78:4592.45 1782.32:3116.22:4801.13 +IOPATH D[6] O[29] 1746.72:3053.98:4705.24 1825.65:3191.99:4917.86 +IOPATH D[6] O[30] 1779.66:3111.58:4793.97 1843.57:3223.32:4966.13 +IOPATH D[6] O[31] 1804.44:3154.91:4860.73 1848.75:3232.38:4980.09 +IOPATH D[7] ACCUMCO 1036.78:1812.72:2792.83 1134.12:1982.91:3055.04 +IOPATH D[7] CO 1318.22:2304.78:3550.96 1402.57:2452.27:3778.18 +IOPATH D[7] O[7] 799.475:1397.81:2153.59 871.513:1523.76:2347.65 +IOPATH D[7] O[8] 1016.09:1776.54:2737.1 1101.89:1926.57:2968.24 +IOPATH D[7] O[9] 1077:1883.03:2901.17 1175.38:2055.04:3166.18 +IOPATH D[7] O[10] 1109.45:1939.77:2988.58 1197.98:2094.56:3227.06 +IOPATH D[7] O[11] 1220.77:2134.41:3288.45 1306.5:2284.31:3519.41 +IOPATH D[7] O[12] 1119.94:1958.12:3016.85 1195.35:2089.96:3219.98 +IOPATH D[7] O[13] 1175.03:2054.44:3165.25 1254.16:2192.79:3378.41 +IOPATH D[7] O[14] 1334.81:2333.79:3595.65 1422.9:2487.82:3832.95 +IOPATH D[7] O[15] 1290.96:2257.14:3477.55 1386.04:2423.36:3733.65 +IOPATH D[7] O[16] 1416.31:2476.3:3815.2 1539.6:2691.86:4147.32 +IOPATH D[7] O[17] 1465.13:2561.65:3946.7 1575.13:2753.98:4243.03 +IOPATH D[7] O[18] 1529.53:2674.25:4120.19 1652.67:2889.55:4451.9 +IOPATH D[7] O[19] 1617.41:2827.91:4356.92 1711.3:2992.05:4609.82 +IOPATH D[7] O[20] 1556.36:2721.16:4192.46 1640.52:2868.31:4419.17 +IOPATH D[7] O[21] 1524.93:2666.21:4107.8 1606.32:2808.51:4327.04 +IOPATH D[7] O[22] 1606.43:2808.7:4327.33 1707.68:2985.73:4600.09 +IOPATH D[7] O[23] 1635.99:2860.39:4406.96 1722.97:3012.47:4641.27 +IOPATH D[7] O[24] 1593.75:2786.53:4293.17 1671.15:2921.86:4501.68 +IOPATH D[7] O[25] 1649.3:2883.66:4442.82 1739.26:3040.94:4685.14 +IOPATH D[7] O[26] 1729.39:3023.68:4658.55 1827.86:3195.86:4923.82 +IOPATH D[7] O[27] 1724.94:3015.92:4646.59 1805.8:3157.29:4864.4 +IOPATH D[7] O[28] 1690.92:2956.43:4554.93 1768.39:3091.87:4763.61 +IOPATH D[7] O[29] 1732.79:3029.63:4667.72 1811.72:3167.64:4880.34 +IOPATH D[7] O[30] 1765.73:3087.23:4756.45 1829.64:3198.97:4928.61 +IOPATH D[7] O[31] 1790.51:3130.56:4823.21 1834.82:3208.03:4942.57 +IOPATH D[8] ACCUMCO 1034.1:1808.04:2785.63 1100.21:1923.63:2963.71 +IOPATH D[8] CO 1315.54:2300.11:3543.75 1368.66:2392.98:3686.84 +IOPATH D[8] O[8] 832.014:1454.7:2241.25 918.145:1605.3:2473.26 +IOPATH D[8] O[9] 907.685:1587.01:2445.08 1003.76:1754.98:2703.88 +IOPATH D[8] O[10] 937.827:1639.71:2526.28 1026.36:1794.49:2764.76 +IOPATH D[8] O[11] 1049.15:1834.34:2826.15 1134.88:1984.24:3057.1 +IOPATH D[8] O[12] 1045.03:1827.14:2815.06 1120.39:1958.91:3018.06 +IOPATH D[8] O[13] 1100.08:1923.39:2963.34 1179.21:2061.74:3176.5 +IOPATH D[8] O[14] 1259.85:2202.74:3393.74 1347.95:2356.77:3631.04 +IOPATH D[8] O[15] 1216.01:2126.09:3275.64 1311.08:2292.31:3531.74 +IOPATH D[8] O[16] 1382.41:2417.01:3723.87 1505.7:2632.58:4055.98 +IOPATH D[8] O[17] 1431.22:2502.36:3855.36 1541.23:2694.7:4151.69 +IOPATH D[8] O[18] 1495.63:2614.97:4028.85 1618.77:2830.27:4360.56 +IOPATH D[8] O[19] 1583.51:2768.62:4265.59 1677.39:2932.77:4518.49 +IOPATH D[8] O[20] 1522.45:2661.88:4101.13 1606.62:2809.03:4327.84 +IOPATH D[8] O[21] 1491.03:2606.93:4016.46 1572.41:2749.23:4235.7 +IOPATH D[8] O[22] 1572.52:2749.42:4236 1673.78:2926.45:4508.75 +IOPATH D[8] O[23] 1602.08:2801.1:4315.63 1689.07:2953.19:4549.94 +IOPATH D[8] O[24] 1559.84:2727.25:4201.84 1637.25:2862.58:4410.35 +IOPATH D[8] O[25] 1615.39:2824.37:4351.48 1705.35:2981.66:4593.81 +IOPATH D[8] O[26] 1695.48:2964.4:4567.22 1793.96:3136.57:4832.48 +IOPATH D[8] O[27] 1691.04:2956.63:4555.25 1771.9:3098.01:4773.06 +IOPATH D[8] O[28] 1657.01:2897.14:4463.6 1734.48:3032.59:4672.28 +IOPATH D[8] O[29] 1698.88:2970.35:4576.38 1777.82:3108.35:4789.01 +IOPATH D[8] O[30] 1731.82:3027.94:4665.12 1795.74:3139.69:4837.28 +IOPATH D[8] O[31] 1756.61:3071.27:4731.88 1800.92:3148.75:4851.24 +IOPATH D[9] ACCUMCO 1042.68:1823.03:2808.72 1117.17:1953.28:3009.4 +IOPATH D[9] CO 1324.11:2315.09:3566.84 1385.62:2422.64:3732.53 +IOPATH D[9] O[9] 850.479:1486.99:2290.98 944.679:1651.69:2544.74 +IOPATH D[9] O[10] 894.403:1563.78:2409.31 979.376:1712.35:2638.2 +IOPATH D[9] O[11] 1002.17:1752.2:2699.59 1087.9:1902.1:2930.55 +IOPATH D[9] O[12] 1061.99:1856.8:2860.74 1137.35:1988.56:3063.75 +IOPATH D[9] O[13] 1117.04:1953.04:3009.03 1196.17:2091.39:3222.19 +IOPATH D[9] O[14] 1276.81:2232.39:3439.42 1364.91:2386.42:3676.73 +IOPATH D[9] O[15] 1232.97:2155.74:3321.32 1328.04:2321.97:3577.43 +IOPATH D[9] O[16] 1399.37:2446.67:3769.56 1522.66:2662.23:4101.67 +IOPATH D[9] O[17] 1448.18:2532.02:3901.05 1558.19:2724.36:4197.38 +IOPATH D[9] O[18] 1512.59:2644.62:4074.54 1635.73:2859.92:4406.25 +IOPATH D[9] O[19] 1600.47:2798.28:4311.28 1694.35:2962.43:4564.18 +IOPATH D[9] O[20] 1539.42:2691.53:4146.82 1623.58:2838.68:4373.53 +IOPATH D[9] O[21] 1507.99:2636.58:4062.15 1589.37:2778.88:4281.39 +IOPATH D[9] O[22] 1589.48:2779.07:4281.68 1690.74:2956.11:4554.44 +IOPATH D[9] O[23] 1619.05:2830.76:4361.32 1706.03:2982.84:4595.63 +IOPATH D[9] O[24] 1576.8:2756.9:4247.53 1654.21:2892.24:4456.04 +IOPATH D[9] O[25] 1632.35:2854.03:4397.17 1722.31:3011.31:4639.5 +IOPATH D[9] O[26] 1712.44:2994.05:4612.9 1810.92:3166.23:4878.17 +IOPATH D[9] O[27] 1708:2986.29:4600.94 1788.86:3127.66:4818.75 +IOPATH D[9] O[28] 1673.97:2926.8:4509.28 1751.44:3062.24:4717.96 +IOPATH D[9] O[29] 1715.84:3000:4622.07 1794.78:3138.01:4834.7 +IOPATH D[9] O[30] 1748.79:3057.6:4710.81 1812.7:3169.34:4882.97 +IOPATH D[9] O[31] 1773.57:3100.93:4777.57 1817.88:3178.4:4896.93 +IOPATH D[10] ACCUMCO 1034.66:1809.01:2787.13 1089.7:1905.25:2935.4 +IOPATH D[10] CO 1316.1:2301.08:3545.25 1358.15:2374.61:3658.54 +IOPATH D[10] O[10] 824.38:1441.36:2220.68 907.245:1586.24:2443.9 +IOPATH D[10] O[11] 947.69:1656.95:2552.85 1027.88:1797.15:2768.85 +IOPATH D[10] O[12] 1021.3:1785.66:2751.14 1096.66:1917.42:2954.15 +IOPATH D[10] O[13] 1076.35:1881.9:2899.43 1155.48:2020.25:3112.58 +IOPATH D[10] O[14] 1236.12:2161.26:3329.82 1324.22:2315.28:3567.13 +IOPATH D[10] O[15] 1192.28:2084.6:3211.72 1287.36:2250.83:3467.82 +IOPATH D[10] O[16] 1379.97:2412.75:3717.3 1495.93:2615.51:4029.69 +IOPATH D[10] O[17] 1422.83:2487.7:3832.77 1530.72:2676.33:4123.39 +IOPATH D[10] O[18] 1485.12:2596.6:4000.55 1608.26:2811.9:4332.26 +IOPATH D[10] O[19] 1573:2750.25:4237.28 1666.88:2914.4:4490.18 +IOPATH D[10] O[20] 1511.95:2643.51:4072.82 1596.11:2790.66:4299.53 +IOPATH D[10] O[21] 1480.52:2588.56:3988.16 1561.9:2730.85:4207.39 +IOPATH D[10] O[22] 1562.01:2731.04:4207.69 1663.27:2908.08:4480.44 +IOPATH D[10] O[23] 1591.58:2782.73:4287.32 1678.56:2934.81:4521.63 +IOPATH D[10] O[24] 1549.33:2708.88:4173.53 1626.74:2844.21:4382.04 +IOPATH D[10] O[25] 1604.89:2806:4323.18 1694.84:2963.29:4565.5 +IOPATH D[10] O[26] 1684.97:2946.03:4538.91 1783.45:3118.2:4804.18 +IOPATH D[10] O[27] 1680.53:2938.26:4526.94 1761.39:3079.63:4744.76 +IOPATH D[10] O[28] 1646.51:2878.77:4435.29 1723.97:3014.22:4643.97 +IOPATH D[10] O[29] 1688.38:2951.98:4548.08 1767.31:3089.98:4760.7 +IOPATH D[10] O[30] 1721.32:3009.57:4636.81 1785.23:3121.31:4808.97 +IOPATH D[10] O[31] 1746.1:3052.9:4703.57 1790.41:3130.38:4822.93 +IOPATH D[11] ACCUMCO 1018.11:1780.08:2742.56 1077.54:1883.99:2902.64 +IOPATH D[11] CO 1299.55:2272.15:3500.68 1345.99:2353.35:3625.78 +IOPATH D[11] O[11] 882.228:1542.5:2376.51 967.006:1690.73:2604.88 +IOPATH D[11] O[12] 999.082:1746.81:2691.29 1074.44:1878.56:2894.27 +IOPATH D[11] O[13] 1054.12:1843.04:2839.56 1133.25:1981.39:3052.71 +IOPATH D[11] O[14] 1213.9:2122.4:3269.95 1301.99:2276.42:3507.25 +IOPATH D[11] O[15] 1170.06:2045.74:3151.85 1265.13:2211.97:3407.95 +IOPATH D[11] O[16] 1363.42:2383.82:3672.73 1483.03:2592.94:3994.92 +IOPATH D[11] O[17] 1408.55:2462.73:3794.3 1518.56:2655.07:4090.63 +IOPATH D[11] O[18] 1472.96:2575.33:3967.79 1596.1:2790.64:4299.5 +IOPATH D[11] O[19] 1560.84:2728.99:4204.53 1654.72:2893.14:4457.43 +IOPATH D[11] O[20] 1499.79:2622.24:4040.06 1583.95:2769.39:4266.77 +IOPATH D[11] O[21] 1468.36:2567.29:3955.4 1549.74:2709.59:4174.64 +IOPATH D[11] O[22] 1549.85:2709.78:4174.93 1651.11:2886.82:4447.69 +IOPATH D[11] O[23] 1579.42:2761.47:4254.56 1666.4:2913.55:4488.88 +IOPATH D[11] O[24] 1537.17:2687.61:4140.78 1614.58:2822.95:4349.28 +IOPATH D[11] O[25] 1592.72:2784.74:4290.42 1682.68:2942.02:4532.74 +IOPATH D[11] O[26] 1672.81:2924.77:4506.15 1771.29:3096.94:4771.42 +IOPATH D[11] O[27] 1668.37:2917:4494.19 1749.23:3058.37:4712 +IOPATH D[11] O[28] 1634.34:2857.51:4402.53 1711.81:2992.95:4611.21 +IOPATH D[11] O[29] 1676.22:2930.72:4515.32 1755.15:3068.72:4727.94 +IOPATH D[11] O[30] 1709.16:2988.31:4604.05 1773.07:3100.05:4776.22 +IOPATH D[11] O[31] 1733.94:3031.64:4670.81 1778.25:3109.11:4790.18 +IOPATH D[12] ACCUMCO 1025.51:1793.02:2762.48 1099.96:1923.19:2963.04 +IOPATH D[12] CO 1306.95:2285.08:3520.6 1368.41:2392.55:3686.17 +IOPATH D[12] O[12] 822.224:1437.59:2214.87 892.643:1560.71:2404.57 +IOPATH D[12] O[13] 888.669:1553.76:2393.86 963.462:1684.53:2595.33 +IOPATH D[12] O[14] 1044.11:1825.53:2812.57 1132.2:1979.55:3049.88 +IOPATH D[12] O[15] 1000.26:1748.87:2694.47 1095.34:1915.1:2950.58 +IOPATH D[12] O[16] 1382.16:2416.58:3723.19 1505.45:2632.14:4055.31 +IOPATH D[12] O[17] 1430.97:2501.93:3854.69 1540.98:2694.26:4151.02 +IOPATH D[12] O[18] 1495.38:2614.53:4028.18 1618.52:2829.83:4359.89 +IOPATH D[12] O[19] 1583.26:2768.19:4264.91 1677.14:2932.34:4517.82 +IOPATH D[12] O[20] 1522.2:2661.44:4100.45 1606.37:2808.59:4327.16 +IOPATH D[12] O[21] 1490.78:2606.49:4015.79 1572.16:2748.79:4235.03 +IOPATH D[12] O[22] 1572.27:2748.98:4235.32 1673.53:2926.02:4508.08 +IOPATH D[12] O[23] 1601.83:2800.67:4314.96 1688.82:2952.75:4549.27 +IOPATH D[12] O[24] 1559.59:2726.81:4201.17 1637:2862.15:4409.68 +IOPATH D[12] O[25] 1615.14:2823.94:4350.81 1705.1:2981.22:4593.13 +IOPATH D[12] O[26] 1695.23:2963.96:4566.54 1793.71:3136.14:4831.81 +IOPATH D[12] O[27] 1690.79:2956.2:4554.58 1771.65:3097.57:4772.39 +IOPATH D[12] O[28] 1656.76:2896.71:4462.92 1734.23:3032.15:4671.6 +IOPATH D[12] O[29] 1698.63:2969.91:4575.71 1777.57:3107.92:4788.33 +IOPATH D[12] O[30] 1731.57:3027.51:4664.45 1795.49:3139.25:4836.61 +IOPATH D[12] O[31] 1756.36:3070.84:4731.2 1800.67:3148.31:4850.57 +IOPATH D[13] ACCUMCO 1036.91:1812.95:2793.19 1124.5:1966.08:3029.12 +IOPATH D[13] CO 1318.35:2305.01:3551.31 1392.95:2435.44:3752.26 +IOPATH D[13] O[13] 831.452:1453.72:2239.73 903.548:1579.78:2433.94 +IOPATH D[13] O[14] 1000.9:1749.98:2696.18 1083.98:1895.25:2919.99 +IOPATH D[13] O[15] 952.045:1664.57:2564.58 1047.12:1830.8:2820.69 +IOPATH D[13] O[16] 1406.69:2459.47:3789.28 1529.98:2675.04:4121.4 +IOPATH D[13] O[17] 1455.5:2544.82:3920.78 1565.51:2737.16:4217.11 +IOPATH D[13] O[18] 1519.91:2657.43:4094.27 1643.05:2872.73:4425.98 +IOPATH D[13] O[19] 1607.79:2811.08:4331 1701.68:2975.23:4583.9 +IOPATH D[13] O[20] 1546.74:2704.34:4166.54 1630.9:2851.49:4393.25 +IOPATH D[13] O[21] 1515.31:2649.39:4081.88 1596.7:2791.68:4301.11 +IOPATH D[13] O[22] 1596.81:2791.87:4301.41 1698.06:2968.91:4574.16 +IOPATH D[13] O[23] 1626.37:2843.56:4381.04 1713.35:2995.64:4615.35 +IOPATH D[13] O[24] 1584.13:2769.71:4267.25 1661.53:2905.04:4475.76 +IOPATH D[13] O[25] 1639.68:2866.83:4416.9 1729.63:3024.11:4659.22 +IOPATH D[13] O[26] 1719.76:3006.86:4632.63 1818.24:3179.03:4897.9 +IOPATH D[13] O[27] 1715.32:2999.09:4620.67 1796.18:3140.46:4838.48 +IOPATH D[13] O[28] 1681.3:2939.6:4529.01 1758.77:3075.05:4737.69 +IOPATH D[13] O[29] 1723.17:3012.81:4641.8 1802.1:3150.81:4854.42 +IOPATH D[13] O[30] 1756.11:3070.4:4730.53 1820.02:3182.14:4902.69 +IOPATH D[13] O[31] 1780.89:3113.73:4797.29 1825.2:3191.2:4916.65 +IOPATH D[14] ACCUMCO 1029.83:1800.56:2774.1 1085.13:1897.25:2923.07 +IOPATH D[14] CO 1311.26:2292.63:3532.23 1353.58:2366.61:3646.21 +IOPATH D[14] O[14] 927.009:1620.79:2497.14 1008.19:1762.73:2715.82 +IOPATH D[14] O[15] 894.315:1563.63:2409.07 983.334:1719.27:2648.87 +IOPATH D[14] O[16] 1375.13:2404.29:3704.27 1491.1:2607.05:4016.65 +IOPATH D[14] O[17] 1418:2479.24:3819.74 1526.14:2668.32:4111.06 +IOPATH D[14] O[18] 1480.54:2588.59:3988.21 1603.68:2803.89:4319.93 +IOPATH D[14] O[19] 1568.42:2742.25:4224.95 1662.31:2906.4:4477.85 +IOPATH D[14] O[20] 1507.37:2635.5:4060.49 1591.53:2782.65:4287.2 +IOPATH D[14] O[21] 1475.94:2580.55:3975.82 1557.33:2722.85:4195.06 +IOPATH D[14] O[22] 1557.44:2723.04:4195.36 1658.69:2900.07:4468.11 +IOPATH D[14] O[23] 1587:2774.73:4274.99 1673.98:2926.81:4509.3 +IOPATH D[14] O[24] 1544.76:2700.87:4161.2 1622.16:2836.2:4369.71 +IOPATH D[14] O[25] 1600.31:2798:4310.84 1690.27:2955.28:4553.17 +IOPATH D[14] O[26] 1680.39:2938.02:4526.58 1778.87:3110.2:4791.85 +IOPATH D[14] O[27] 1675.95:2930.26:4514.61 1756.81:3071.63:4732.42 +IOPATH D[14] O[28] 1641.93:2870.77:4422.96 1719.4:3006.21:4631.64 +IOPATH D[14] O[29] 1683.8:2943.97:4535.75 1762.73:3081.98:4748.37 +IOPATH D[14] O[30] 1716.74:3001.57:4624.48 1780.65:3113.31:4796.64 +IOPATH D[14] O[31] 1741.52:3044.9:4691.24 1785.83:3122.37:4810.6 +IOPATH D[15] ACCUMCO 1025.22:1792.5:2761.68 1067.32:1866.12:2875.11 +IOPATH D[15] CO 1306.65:2284.57:3519.8 1335.77:2335.48:3598.24 +IOPATH D[15] O[15] 843.864:1475.42:2273.17 937.708:1639.5:2525.96 +IOPATH D[15] O[16] 1370.52:2396.23:3691.85 1486.49:2598.99:4004.23 +IOPATH D[15] O[17] 1413.39:2471.18:3807.32 1515.77:2650.2:4083.13 +IOPATH D[15] O[18] 1470.15:2570.43:3960.23 1585.87:2772.76:4271.96 +IOPATH D[15] O[19] 1552.76:2714.87:4182.76 1644.5:2875.27:4429.89 +IOPATH D[15] O[20] 1489.56:2604.37:4012.53 1573.73:2751.52:4239.24 +IOPATH D[15] O[21] 1458.13:2549.42:3927.86 1539.52:2691.72:4147.1 +IOPATH D[15] O[22] 1539.63:2691.91:4147.4 1640.89:2868.94:4420.15 +IOPATH D[15] O[23] 1569.19:2743.6:4227.03 1656.18:2895.68:4461.34 +IOPATH D[15] O[24] 1526.95:2669.74:4113.24 1604.36:2805.08:4321.75 +IOPATH D[15] O[25] 1582.5:2766.87:4262.88 1672.46:2924.15:4505.2 +IOPATH D[15] O[26] 1662.59:2906.89:4478.62 1761.07:3079.07:4743.88 +IOPATH D[15] O[27] 1658.15:2899.13:4466.65 1739.01:3040.5:4684.46 +IOPATH D[15] O[28] 1624.12:2839.64:4375 1701.59:2975.08:4583.68 +IOPATH D[15] O[29] 1665.99:2912.84:4487.78 1744.92:3050.85:4700.41 +IOPATH D[15] O[30] 1698.93:2970.44:4576.52 1762.84:3082.18:4748.68 +IOPATH D[15] O[31] 1723.72:3013.77:4643.28 1768.03:3091.24:4762.64 +IOPATH OLOADBOT O[0] 457.31:799.566:1231.88 491.745:859.773:1324.64 +IOPATH OLOADBOT O[1] 422.247:738.261:1137.43 485.984:849.701:1309.12 +IOPATH OLOADBOT O[2] 456.682:798.468:1230.19 505.371:883.597:1361.35 +IOPATH OLOADBOT O[3] 372.553:651.376:1003.57 418.2:731.187:1126.53 +IOPATH OLOADBOT O[4] 456.836:798.737:1230.61 489.028:855.023:1317.32 +IOPATH OLOADBOT O[5] 389.099:680.306:1048.14 441.251:771.489:1188.62 +IOPATH OLOADBOT O[6] 483.863:845.992:1303.41 549.125:960.097:1479.21 +IOPATH OLOADBOT O[7] 382.203:668.248:1029.56 446.911:781.385:1203.87 +IOPATH OLOADBOT O[8] 476.781:833.609:1284.33 574.373:1004.24:1547.22 +IOPATH OLOADBOT O[9] 428.238:748.737:1153.57 506.159:884.975:1363.47 +IOPATH OLOADBOT O[10] 493.88:863.507:1330.39 550.931:963.255:1484.08 +IOPATH OLOADBOT O[11] 538.75:941.956:1451.26 633.632:1107.85:1706.85 +IOPATH OLOADBOT O[12] 457.091:799.184:1231.29 543.135:949.624:1463.08 +IOPATH OLOADBOT O[13] 459.414:803.246:1237.55 549.407:960.591:1479.97 +IOPATH OLOADBOT O[14] 491.292:858.981:1323.42 572.181:1000.41:1541.32 +IOPATH OLOADBOT O[15] 504.332:881.78:1358.55 585.005:1022.83:1575.86 +IOPATH OLOADTOP O[16] 552.344:965.725:1487.88 639.911:1118.83:1723.77 +IOPATH OLOADTOP O[17] 521.919:912.53:1405.92 644.889:1127.53:1737.18 +IOPATH OLOADTOP O[18] 472.37:825.897:1272.45 587.869:1027.84:1583.58 +IOPATH OLOADTOP O[19] 528.67:924.333:1424.11 599.871:1048.82:1615.91 +IOPATH OLOADTOP O[20] 491.099:858.643:1322.9 552.264:965.584:1487.66 +IOPATH OLOADTOP O[21] 465.79:814.393:1254.73 560.386:979.786:1509.55 +IOPATH OLOADTOP O[22] 524.637:917.282:1413.25 590.048:1031.65:1589.45 +IOPATH OLOADTOP O[23] 483.343:845.083:1302.01 584.622:1022.16:1574.83 +IOPATH OLOADTOP O[24] 401.142:701.361:1080.58 473.368:827.642:1275.14 +IOPATH OLOADTOP O[25] 506.36:885.326:1364.01 581.074:1015.96:1565.27 +IOPATH OLOADTOP O[26] 509.103:890.122:1371.4 621.2:1086.11:1673.36 +IOPATH OLOADTOP O[27] 490.474:857.55:1321.22 536.179:937.463:1444.34 +IOPATH OLOADTOP O[28] 453.975:793.736:1222.9 545.659:954.038:1469.88 +IOPATH OLOADTOP O[29] 402.56:703.841:1084.4 457.27:799.497:1231.78 +IOPATH OLOADTOP O[30] 464.718:812.518:1251.84 515.495:901.299:1388.62 +IOPATH OLOADTOP O[31] 461.349:806.629:1242.76 508.18:888.508:1368.91 CELL SB_MAC16_MAC_S_16X16_IM_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.5021:55.0787:84.8591 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9992:171.343:263.986 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.1231:43.9256:67.6756 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3086:136.916:210.944 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 80.677:141.057:217.324 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -4621,347 +4621,347 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 957.885:1674.78:2580.31 +SETUP negedge:ADDSUBTOP posedge:CLK 680.604:1189.98:1833.38 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7978:-46.8535:-72.1867 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7996:-18.8822:-29.0916 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.495:294.598:453.884 +SETUP negedge:OLOADTOP posedge:CLK 136.06:237.889:366.512 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 978.631:1711.05:2636.2 +SETUP posedge:ADDSUBTOP posedge:CLK 698.619:1221.47:1881.91 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.074:-31.6008:-48.6869 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.394:254.208:391.656 +IOPATH ADDSUBBOT ACCUMCO 1109.37:1939.64:2988.38 1227.12:2145.51:3305.56 +IOPATH ADDSUBBOT CO 1390.81:2431.71:3746.5 1495.57:2614.87:4028.7 +IOPATH ADDSUBTOP ACCUMCO 789.551:1380.46:2126.86 931.02:1627.81:2507.94 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1371.81:2398.48:3695.32 1500.57:2623.62:4042.18 +IOPATH posedge:CLK CO 1653.24:2890.55:4453.44 1769.02:3092.98:4765.32 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.949:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.315:1088.06:1676.37 672.393:1175.62:1811.27 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.453:1221.18:1881.46 +IOPATH posedge:CLK O[17] 612.622:1071.12:1650.26 684.704:1197.14:1844.43 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 676.224:1182.32:1821.58 734.822:1284.77:1979.43 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.458:1194.97:1841.07 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.427:1063.78:1638.95 673.07:1176.8:1813.09 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 659:1152.2:1775.19 625.425:1093.5:1684.74 CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2156:148.992:229.55 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.306:135.163:208.244 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6531:44.8523:69.1034 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 66.9548:117.065:180.36 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3803:161.519:248.85 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0865:141.773:218.428 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -4974,345 +4974,345 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 -IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.104:1178.61:1815.87 +SETUP negedge:ADDSUBTOP posedge:CLK 676.75:1183.24:1823 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4279:-42.71:-65.8028 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6426:-25.6013:-39.4436 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.141:279.993:431.383 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.99:295.464:455.217 +SETUP negedge:OLOADTOP posedge:CLK 137.087:239.685:369.28 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7791:-22.3432:-34.4239 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.803:1214.8:1871.63 +SETUP posedge:ADDSUBTOP posedge:CLK 695.382:1215.81:1873.19 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.922382:1.6127:2.48468 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.61591:-9.81893:-15.1279 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.714:225.045:346.725 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.593:254.557:392.193 +IOPATH ADDSUBTOP ACCUMCO 787.55:1376.96:2121.47 929.941:1625.92:2505.04 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1100.92:1924.86:2965.61 1249.72:2185.02:3366.43 +IOPATH posedge:CLK CO 1382.35:2416.92:3723.73 1518.16:2654.38:4089.57 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.638:988.968:1523.69 599.67:1048.47:1615.37 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.198:982.953:1514.42 601.252:1051.24:1619.63 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.247:1087.95:1676.18 672.234:1175.34:1810.84 +IOPATH posedge:CLK O[15] 628.409:1098.72:1692.78 676.417:1182.66:1822.1 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.897:1188.74:1831.48 736.941:1288.48:1985.14 +IOPATH posedge:CLK O[20] 636.416:1112.72:1714.35 683.592:1195.2:1841.43 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 585.117:1023.03:1576.16 632.255:1105.44:1703.14 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.507:1065.67:1641.86 673.925:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.048:972.202:1497.86 592.476:1035.89:1595.99 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 616.913:1078.62:1661.81 676.987:1183.65:1823.64 CELL SB_MAC16_MAC_U_8X8_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5533:44.6778:68.8345 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3041:173.624:267.501 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.1688:147.162:226.731 +HOLD posedge:OLOADTOP posedge:CLK 80.3672:140.515:216.49 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.363:729.722:1124.27 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -5325,392 +5325,392 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 -SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 -SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 -SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 -SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 -SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 -SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 -SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 -SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 -SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 -SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 -SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 -SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 -SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 -SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 -SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 -SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 -SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 -SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 -SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 -SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 -SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 -SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 -SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 -SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 -SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 -SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 -SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 -SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 -SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 -SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 -SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 -SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 -SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 -SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 -SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 -SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 -SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 -SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 -SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 -SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 -SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 -SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 -SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 -SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 -SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 -SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 -SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 -SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 -SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 -SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 -SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 -SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 -SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 -SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 -SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 -SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 -SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 -SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 -SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 -SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 -SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 -SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 -SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 -SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 -SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 -SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 -SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 -SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 -SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 -SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 -SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 -SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 -SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 -SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 -SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 -SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 -SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 -IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 -IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 -IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 -IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 -IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 -IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 -IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 -IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 -IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 -IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 -IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 -IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 -IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 -IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 -IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 -IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 -IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 -IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 -IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 -IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 -IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 -IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 -IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 -IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 -IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 -IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 -IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 -IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 -IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 -IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 -IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 -IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 -IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 -IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 -IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 -IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 -IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 -IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 -IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 -IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 -IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 -IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 -IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 -IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 -IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 -IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 -IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 -IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 -IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 -IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +SETUP negedge:A[0] posedge:CLK 1288.52:2252.86:3470.95 +SETUP negedge:A[1] posedge:CLK 1355.9:2370.68:3652.48 +SETUP negedge:A[2] posedge:CLK 1360.54:2378.79:3664.97 +SETUP negedge:A[3] posedge:CLK 1376.29:2406.33:3707.41 +SETUP negedge:A[4] posedge:CLK 1426.01:2493.26:3841.33 +SETUP negedge:A[5] posedge:CLK 1469.53:2569.34:3958.56 +SETUP negedge:A[6] posedge:CLK 1426.08:2493.38:3841.52 +SETUP negedge:A[7] posedge:CLK 1440.24:2518.14:3879.67 +SETUP negedge:A[8] posedge:CLK 1268.2:2217.33:3416.21 +SETUP negedge:A[9] posedge:CLK 1356.86:2372.36:3655.06 +SETUP negedge:A[10] posedge:CLK 1323.33:2313.73:3564.73 +SETUP negedge:A[11] posedge:CLK 1325.9:2318.22:3571.66 +SETUP negedge:A[12] posedge:CLK 1360.65:2378.97:3665.25 +SETUP negedge:A[13] posedge:CLK 1373.3:2401.1:3699.34 +SETUP negedge:A[14] posedge:CLK 1382.93:2417.94:3725.29 +SETUP negedge:A[15] posedge:CLK 1389.51:2429.44:3743.02 +SETUP negedge:ADDSUBBOT posedge:CLK 674.103:1178.61:1815.87 +SETUP negedge:ADDSUBTOP posedge:CLK 676.675:1183.11:1822.8 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK 1431.25:2502.41:3855.44 +SETUP negedge:B[1] posedge:CLK 1499.7:2622.09:4039.83 +SETUP negedge:B[2] posedge:CLK 1463.11:2558.13:3941.28 +SETUP negedge:B[3] posedge:CLK 1509.56:2639.34:4066.4 +SETUP negedge:B[4] posedge:CLK 1420.96:2484.43:3827.73 +SETUP negedge:B[5] posedge:CLK 1476.69:2581.87:3977.86 +SETUP negedge:B[6] posedge:CLK 1302.99:2278.16:3509.94 +SETUP negedge:B[7] posedge:CLK 1352.14:2364.09:3642.33 +SETUP negedge:B[8] posedge:CLK 1397.1:2442.71:3763.45 +SETUP negedge:B[9] posedge:CLK 1508.55:2637.57:4063.68 +SETUP negedge:B[10] posedge:CLK 1448.22:2532.08:3901.15 +SETUP negedge:B[11] posedge:CLK 1528.93:2673.2:4118.56 +SETUP negedge:B[12] posedge:CLK 1438.09:2514.37:3873.86 +SETUP negedge:B[13] posedge:CLK 1460.05:2552.76:3933.01 +SETUP negedge:B[14] posedge:CLK 1266.11:2213.69:3410.6 +SETUP negedge:B[15] posedge:CLK 1340.33:2343.44:3610.51 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 80.648:141.006:217.246 +SETUP negedge:C[2] posedge:CLK 74.1524:129.649:199.749 +SETUP negedge:C[3] posedge:CLK 68.2779:119.378:183.924 +SETUP negedge:C[4] posedge:CLK 73.5305:128.562:198.073 +SETUP negedge:C[5] posedge:CLK 64.6543:113.042:174.163 +SETUP negedge:C[6] posedge:CLK 80.525:140.791:216.915 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.8035:120.297:185.34 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 70.5106:123.282:189.939 +SETUP negedge:C[15] posedge:CLK 67.4637:117.954:181.731 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK 108.153:189.096:291.337 +SETUP negedge:D[1] posedge:CLK 92.7589:162.181:249.87 +SETUP negedge:D[2] posedge:CLK 85.7392:149.908:230.961 +SETUP negedge:D[3] posedge:CLK 66.5079:116.283:179.156 +SETUP negedge:D[4] posedge:CLK 87.1725:152.413:234.822 +SETUP negedge:D[5] posedge:CLK 94.1631:164.636:253.653 +SETUP negedge:D[6] posedge:CLK 76.365:133.517:205.709 +SETUP negedge:D[7] posedge:CLK 74.2891:129.888:200.117 +SETUP negedge:D[8] posedge:CLK 73.7906:129.016:198.774 +SETUP negedge:D[9] posedge:CLK 72.8397:127.354:196.213 +SETUP negedge:D[10] posedge:CLK 83.4549:145.914:224.807 +SETUP negedge:D[11] posedge:CLK 70.5077:123.276:189.931 +SETUP negedge:D[12] posedge:CLK 69.631:121.744:187.569 +SETUP negedge:D[13] posedge:CLK 53.8276:94.1128:144.999 +SETUP negedge:D[14] posedge:CLK 86.0746:150.494:231.864 +SETUP negedge:D[15] posedge:CLK 52.9401:92.5612:142.608 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.082:279.89:431.224 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.03:292.777 +SETUP negedge:OLOADBOT posedge:CLK 168.779:295.096:454.651 +SETUP negedge:OLOADTOP posedge:CLK 136.974:239.487:368.974 +SETUP posedge:A[0] posedge:CLK 1276.57:2231.97:3438.77 +SETUP posedge:A[1] posedge:CLK 1348.46:2357.67:3632.43 +SETUP posedge:A[2] posedge:CLK 1359.04:2376.17:3660.93 +SETUP posedge:A[3] posedge:CLK 1368.94:2393.47:3687.59 +SETUP posedge:A[4] posedge:CLK 1414.61:2473.32:3810.62 +SETUP posedge:A[5] posedge:CLK 1495.46:2614.69:4028.42 +SETUP posedge:A[6] posedge:CLK 1421.69:2485.7:3829.69 +SETUP posedge:A[7] posedge:CLK 1432.81:2505.14:3859.65 +SETUP posedge:A[8] posedge:CLK 1265.99:2213.46:3410.26 +SETUP posedge:A[9] posedge:CLK 1355.85:2370.59:3652.34 +SETUP posedge:A[10] posedge:CLK 1319.7:2307.38:3554.95 +SETUP posedge:A[11] posedge:CLK 1336.7:2337.11:3600.75 +SETUP posedge:A[12] posedge:CLK 1373.76:2401.89:3700.57 +SETUP posedge:A[13] posedge:CLK 1396.2:2441.13:3761.01 +SETUP posedge:A[14] posedge:CLK 1406.8:2459.67:3789.59 +SETUP posedge:A[15] posedge:CLK 1413.09:2470.67:3806.53 +SETUP posedge:ADDSUBBOT posedge:CLK 694.803:1214.8:1871.63 +SETUP posedge:ADDSUBTOP posedge:CLK 695.242:1215.57:1872.81 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK 1480.72:2588.91:3988.7 +SETUP posedge:B[1] posedge:CLK 1556.47:2721.35:4192.75 +SETUP posedge:B[2] posedge:CLK 1518.14:2654.33:4089.5 +SETUP posedge:B[3] posedge:CLK 1539.28:2691.29:4146.44 +SETUP posedge:B[4] posedge:CLK 1478:2584.16:3981.38 +SETUP posedge:B[5] posedge:CLK 1502.25:2626.56:4046.71 +SETUP posedge:B[6] posedge:CLK 1347.52:2356.02:3629.89 +SETUP posedge:B[7] posedge:CLK 1401:2449.52:3773.95 +SETUP posedge:B[8] posedge:CLK 1434.29:2507.73:3863.64 +SETUP posedge:B[9] posedge:CLK 1530.72:2676.33:4123.39 +SETUP posedge:B[10] posedge:CLK 1491.2:2607.24:4016.95 +SETUP posedge:B[11] posedge:CLK 1513.87:2646.87:4078 +SETUP posedge:B[12] posedge:CLK 1464.89:2561.24:3946.07 +SETUP posedge:B[13] posedge:CLK 1462.87:2557.71:3940.63 +SETUP posedge:B[14] posedge:CLK 1291.99:2258.94:3480.32 +SETUP posedge:B[15] posedge:CLK 1451.09:2537.11:3908.89 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.8132:130.804:201.529 +SETUP posedge:C[1] posedge:CLK 82.5498:144.331:222.369 +SETUP posedge:C[2] posedge:CLK 71.5702:125.134:192.793 +SETUP posedge:C[3] posedge:CLK 72.2728:126.363:194.685 +SETUP posedge:C[4] posedge:CLK 74.266:129.848:200.055 +SETUP posedge:C[5] posedge:CLK 61.3797:107.317:165.342 +SETUP posedge:C[6] posedge:CLK 74.6879:130.585:201.191 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 66.1957:115.737:178.315 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 60.6609:106.06:163.406 +SETUP posedge:C[13] posedge:CLK 70.2254:122.783:189.17 +SETUP posedge:C[14] posedge:CLK 61.7086:107.892:166.228 +SETUP posedge:C[15] posedge:CLK 65.351:114.26:176.04 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.4921:166.96:257.233 +SETUP posedge:D[1] posedge:CLK 93.8971:164.171:252.936 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8847:109.948:169.396 +SETUP posedge:D[4] posedge:CLK 82.9524:145.035:223.454 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8554:113.394:174.705 +SETUP posedge:D[7] posedge:CLK 76.8532:134.371:207.024 +SETUP posedge:D[8] posedge:CLK 67.8064:118.554:182.654 +SETUP posedge:D[9] posedge:CLK 67.8457:118.622:182.76 +SETUP posedge:D[10] posedge:CLK 74.3501:129.995:200.281 +SETUP posedge:D[11] posedge:CLK 62.3375:108.992:167.922 +SETUP posedge:D[12] posedge:CLK 65.4591:114.45:176.331 +SETUP posedge:D[13] posedge:CLK 51.0127:89.1913:137.416 +SETUP posedge:D[14] posedge:CLK 81.9951:143.361:220.875 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.64:224.916:346.525 +SETUP posedge:OHOLDTOP posedge:CLK 78.7501:137.688:212.134 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.364:254.156:391.575 +IOPATH A[8] ACCUMCO 2138.1:3738.28:5759.53 2243.93:3923.32:6044.62 +IOPATH A[8] CO 2419.54:4230.35:6517.65 2512.38:4392.68:6767.75 +IOPATH A[8] SIGNEXTOUT 1732.56:3029.23:4667.11 1795.63:3139.5:4836.99 +IOPATH A[9] ACCUMCO 2280.35:3987:6142.73 2386.27:4172.18:6428.04 +IOPATH A[9] CO 2561.79:4479.07:6900.85 2654.72:4641.54:7151.17 +IOPATH A[9] SIGNEXTOUT 1874.85:3278.02:5050.4 1937.92:3388.28:5220.29 +IOPATH A[10] ACCUMCO 2223.37:3887.37:5989.23 2324.82:4064.74:6262.5 +IOPATH A[10] CO 2504.81:4379.44:6747.35 2593.27:4534.1:6985.63 +IOPATH A[10] SIGNEXTOUT 1817.85:3178.35:4896.85 1880.91:3288.61:5066.73 +IOPATH A[11] ACCUMCO 2227.73:3894.99:6000.97 2353.72:4115.27:6340.35 +IOPATH A[11] CO 2509.17:4387.06:6759.09 2622.17:4584.63:7063.49 +IOPATH A[11] SIGNEXTOUT 1840.58:3218.09:4958.08 1903.65:3328.36:5127.96 +IOPATH A[12] ACCUMCO 2286.8:3998.26:6160.08 2416.71:4225.41:6510.05 +IOPATH A[12] CO 2568.23:4490.33:6918.2 2685.16:4694.77:7233.18 +IOPATH A[12] SIGNEXTOUT 1903.57:3328.23:5127.77 1966.64:3438.5:5297.65 +IOPATH A[13] ACCUMCO 2308.3:4035.87:6218.01 2454.86:4292.1:6612.79 +IOPATH A[13] CO 2589.74:4527.93:6976.14 2723.3:4761.46:7335.93 +IOPATH A[13] SIGNEXTOUT 1941.72:3394.92:5230.52 2004.78:3505.19:5400.4 +IOPATH A[14] ACCUMCO 2324.65:4064.45:6262.05 2470.56:4319.55:6655.08 +IOPATH A[14] CO 2606.09:4556.51:7020.17 2739:4788.91:7378.22 +IOPATH A[14] SIGNEXTOUT 1959.75:3426.46:5279.1 2022.82:3536.72:5448.98 +IOPATH A[15] ACCUMCO 2335.91:4084.14:6292.39 2483.6:4342.36:6690.22 +IOPATH A[15] CO 2617.35:4576.21:7050.51 2752.05:4811.72:7413.35 +IOPATH A[15] SIGNEXTOUT 1970.44:3445.15:5307.9 2033.51:3555.41:5477.78 +IOPATH ADDSUBTOP ACCUMCO 787.31:1376.54:2120.82 929.701:1625.5:2504.39 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[8] ACCUMCO 2348.78:4106.64:6327.06 2517.34:4401.35:6781.12 +IOPATH B[8] CO 2630.22:4598.71:7085.18 2785.79:4870.71:7504.25 +IOPATH B[8] SIGNEXTOUT 2006.48:3508.16:5404.98 2069.55:3618.42:5574.87 +IOPATH B[9] ACCUMCO 2538.27:4437.94:6837.49 2681.28:4687.98:7222.71 +IOPATH B[9] CO 2819.71:4930.01:7595.61 2949.73:5157.34:7945.85 +IOPATH B[9] SIGNEXTOUT 2170.41:3794.77:5846.56 2233.47:3905.03:6016.44 +IOPATH B[10] ACCUMCO 2435.63:4258.48:6561 2613.79:4569.99:7040.93 +IOPATH B[10] CO 2717.07:4750.55:7319.12 2882.24:5039.35:7764.07 +IOPATH B[10] SIGNEXTOUT 2103.23:3677.32:5665.61 2166.3:3787.59:5835.49 +IOPATH B[11] ACCUMCO 2572.89:4498.48:6930.76 2652.62:4637.87:7145.52 +IOPATH B[11] CO 2854.33:4990.55:7688.88 2921.07:5107.23:7868.65 +IOPATH B[11] SIGNEXTOUT 2167.36:3789.45:5838.36 2230.43:3899.71:6008.24 +IOPATH B[12] ACCUMCO 2418.48:4228.49:6514.79 2569.38:4492.33:6921.28 +IOPATH B[12] CO 2699.91:4720.56:7272.92 2837.83:4961.69:7644.42 +IOPATH B[12] SIGNEXTOUT 2058.51:3599.12:5545.12 2121.57:3709.38:5715 +IOPATH B[13] ACCUMCO 2455.79:4293.73:6615.31 2577.06:4505.77:6941.98 +IOPATH B[13] CO 2737.23:4785.8:7373.43 2845.51:4975.13:7665.12 +IOPATH B[13] SIGNEXTOUT 2066.16:3612.51:5565.75 2129.23:3722.77:5735.63 +IOPATH B[14] ACCUMCO 2267.72:3964.91:6108.69 2406.91:4208.27:6483.63 +IOPATH B[14] CO 2549.16:4456.98:6866.81 2675.36:4677.63:7206.77 +IOPATH B[14] SIGNEXTOUT 1896.09:3315.15:5107.61 1959.15:3425.41:5277.49 +IOPATH B[15] ACCUMCO 2500.3:4371.55:6735.19 2702.6:4725.26:7280.16 +IOPATH B[15] CO 2781.73:4863.62:7493.32 2971.05:5194.62:8003.3 +IOPATH B[15] SIGNEXTOUT 2191.7:3831.99:5903.9 2254.76:3942.25:6073.78 +IOPATH posedge:CLK ACCUMCO 993.893:1737.74:2677.31 1143.67:1999.61:3080.77 +IOPATH posedge:CLK CO 1275.33:2229.8:3435.43 1412.12:2468.97:3803.91 +IOPATH posedge:CLK O[0] 484.291:846.74:1304.56 503.715:880.702:1356.89 +IOPATH posedge:CLK O[1] 480.645:840.365:1294.74 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.809:933.318:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.145:865.718:1333.8 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.299:883.471:1361.15 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.638:988.968:1523.69 599.669:1048.47:1615.37 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.966:1019.26:1570.37 630.996:1103.24:1699.75 +IOPATH posedge:CLK O[9] 582.292:1018.09:1568.55 644.106:1126.16:1735.07 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.17:1136.76:1751.4 694.549:1214.36:1870.95 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 576.898:1008.66:1554.02 623.225:1089.65:1678.82 +IOPATH posedge:CLK O[14] 621.547:1086.72:1674.3 671.774:1174.54:1809.6 +IOPATH posedge:CLK O[15] 628.295:1098.52:1692.47 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 616.964:1078.71:1661.95 697.994:1220.38:1880.23 +IOPATH posedge:CLK O[17] 614.376:1074.18:1654.98 684.264:1196.38:1843.24 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 726.803:1270.75:1957.83 +IOPATH posedge:CLK O[19] 679.466:1187.99:1830.32 736.346:1287.44:1983.54 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.274:1194.65:1840.58 +IOPATH posedge:CLK O[21] 575.777:1006.7:1551 614.033:1073.58:1654.06 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.816:1022.5:1575.35 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.32:997.155:1536.31 610.807:1067.94:1645.37 +IOPATH posedge:CLK O[25] 583.365:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.352:1065.4:1641.45 672.86:1176.44:1812.52 +IOPATH posedge:CLK O[27] 566.105:989.785:1524.95 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.697:1029.29:1585.81 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 CELL SB_MAC16_MAC_U_8X8_IM_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6531:44.8523:69.1034 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0865:141.773:218.428 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -5723,345 +5723,345 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 5638.08:5638.08:5638.08 5851.21:5851.21:5851.21 -IOPATH posedge:CLK CO 6210.49:6210.49:6210.49 6397.2:6397.2:6397.2 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.29:1382.29:1382.29 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 4925.14:4925.14:4925.14 5062.08:5062.08:5062.08 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 674.104:1178.61:1815.87 +SETUP negedge:ADDSUBTOP posedge:CLK 676.75:1183.24:1823 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7973:-46.8527:-72.1854 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8006:-18.8839:-29.0943 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.99:295.464:455.217 +SETUP negedge:OLOADTOP posedge:CLK 137.087:239.685:369.28 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.804:1214.8:1871.63 +SETUP posedge:ADDSUBTOP posedge:CLK 695.382:1215.81:1873.19 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.075:-31.6025:-48.6896 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7245:-20.4992:-31.5829 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.593:254.557:392.193 +IOPATH ADDSUBTOP ACCUMCO 787.55:1376.96:2121.47 929.941:1625.92:2505.04 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.943:386.299:595.167 +IOPATH posedge:CLK ACCUMCO 2772.1:4846.78:7467.38 2876.89:5029.99:7749.65 +IOPATH posedge:CLK CO 3053.54:5338.85:8225.5 3145.34:5499.35:8472.79 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.638:988.968:1523.69 599.67:1048.47:1615.37 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 621.836:1087.23:1675.08 672.218:1175.32:1810.79 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.637:1188.29:1830.78 736.512:1287.73:1983.99 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.383:1194.84:1840.87 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.507:1065.67:1641.86 673.925:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 2421.57:4233.9:6523.12 2488.9:4351.62:6704.49 CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.5021:55.0787:84.8591 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2156:148.992:229.55 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.306:135.163:208.244 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.1231:43.9256:67.6756 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 66.9548:117.065:180.36 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3803:161.519:248.85 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 80.677:141.057:217.324 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -6074,347 +6074,347 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 957.942:1674.88:2580.46 +SETUP negedge:ADDSUBTOP posedge:CLK 680.604:1189.98:1833.38 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4279:-42.71:-65.8028 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6426:-25.6013:-39.4436 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.141:279.993:431.383 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.495:294.598:453.884 +SETUP negedge:OLOADTOP posedge:CLK 136.06:237.889:366.512 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7791:-22.3432:-34.4239 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 978.688:1711.15:2636.35 +SETUP posedge:ADDSUBTOP posedge:CLK 698.619:1221.47:1881.91 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.922382:1.6127:2.48468 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.61591:-9.81893:-15.1279 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.714:225.045:346.725 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.394:254.208:391.656 +IOPATH ADDSUBBOT ACCUMCO 1109.53:1939.92:2988.81 1227.22:2145.68:3305.82 +IOPATH ADDSUBBOT CO 1390.97:2431.99:3746.93 1495.66:2615.04:4028.96 +IOPATH ADDSUBTOP ACCUMCO 789.551:1380.46:2126.86 931.02:1627.81:2507.94 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.943:386.299:595.167 +IOPATH posedge:CLK ACCUMCO 1371.95:2398.74:3695.71 1500.67:2623.79:4042.44 +IOPATH posedge:CLK CO 1653.39:2890.8:4453.83 1769.12:3093.15:4765.58 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.949:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.198:982.953:1514.42 601.252:1051.24:1619.63 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.247:1087.95:1676.18 672.234:1175.34:1810.84 +IOPATH posedge:CLK O[15] 628.409:1098.72:1692.78 676.417:1182.66:1822.1 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.453:1221.18:1881.46 +IOPATH posedge:CLK O[17] 612.622:1071.12:1650.26 684.704:1197.14:1844.43 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 676.224:1182.32:1821.58 734.822:1284.77:1979.43 +IOPATH posedge:CLK O[20] 636.416:1112.72:1714.35 683.592:1195.2:1841.43 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 585.117:1023.03:1576.16 632.255:1105.44:1703.14 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.427:1063.78:1638.95 673.07:1176.8:1813.09 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.048:972.202:1497.86 592.476:1035.89:1595.99 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 659.244:1152.63:1775.84 625.861:1094.26:1685.92 CELL SB_MAC16_MAC_U_16X16_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.3792:54.8638:84.528 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9997:171.344:263.987 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 24.9928:43.6978:67.3246 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3081:136.915:210.943 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3041:173.624:267.501 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.1688:147.162:226.731 +HOLD posedge:OLOADTOP posedge:CLK 79.9576:139.799:215.387 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.363:729.722:1124.27 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -6427,442 +6427,442 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 -SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 -SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 -SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 -SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 -SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 -SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 -SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 -SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 -SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 -SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 -SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 -SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 -SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 -SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 -SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 -SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 -SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 -SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 -SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 -SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 -SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 -SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 -SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 -SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 -SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 -SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 -SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 -SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 -SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 -SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 -SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 -SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 -SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 -SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 -SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 -SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 -SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 -SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 -SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 -SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 -SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 -SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 -SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 -SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 -SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 -SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 -SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 -SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 -SETUP posedge:A[10] posedge:CLK 3932:3932:3932 -SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 -SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 -SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 -SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 -SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 -SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 -SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 -SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 -SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 -SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 -SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 -SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 -SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 -SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 -SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 -SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 -SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 -SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 -SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 -SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 -SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 -SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 -SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 -SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 -SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 -SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 -SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 -SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 -IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 -IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 -IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 -IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 -IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 -IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 -IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 -IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 -IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 -IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 -IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 -IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 -IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 -IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 -IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 -IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 -IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 -IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 -IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 -IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 -IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 -IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 -IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 -IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 -IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 -IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 -IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 -IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 -IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 -IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 -IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 -IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 -IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 -IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 -IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 -IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 -IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 -IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 -IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 -IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 -IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 -IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 -IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 -IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 -IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 -IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 -IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 -IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 -IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 -IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 -IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 -IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 -IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 -IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 -IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 -IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 -IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 -IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 -IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 -IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 -IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 -IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 -IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 -IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 -IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 -IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 -IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 -IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 -IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 -IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 -IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 -IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 -IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 -IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 -IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 -IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 -IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 -IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 -IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 -IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 -IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 -IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 -IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 -IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 -IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 -IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 -IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 -IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 -IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 -IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 -IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 -IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 -IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 -IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 -IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 -IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 -IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +SETUP negedge:A[0] posedge:CLK 2146.42:3752.83:5781.94 +SETUP negedge:A[1] posedge:CLK 2213.81:3870.66:5963.47 +SETUP negedge:A[2] posedge:CLK 2218.45:3878.77:5975.97 +SETUP negedge:A[3] posedge:CLK 2233.92:3905.81:6017.64 +SETUP negedge:A[4] posedge:CLK 2283.92:3993.23:6152.33 +SETUP negedge:A[5] posedge:CLK 2327.44:4069.32:6269.55 +SETUP negedge:A[6] posedge:CLK 2283.99:3993.36:6152.52 +SETUP negedge:A[7] posedge:CLK 2298.15:4018.12:6190.66 +SETUP negedge:A[8] posedge:CLK 1891.25:3306.69:5094.58 +SETUP negedge:A[9] posedge:CLK 1965.68:3436.82:5295.06 +SETUP negedge:A[10] posedge:CLK 1946.33:3402.99:5242.95 +SETUP negedge:A[11] posedge:CLK 1929.22:3373.07:5196.85 +SETUP negedge:A[12] posedge:CLK 1991.73:3482.36:5365.23 +SETUP negedge:A[13] posedge:CLK 1994.68:3487.52:5373.18 +SETUP negedge:A[14] posedge:CLK 2009.5:3513.43:5413.1 +SETUP negedge:A[15] posedge:CLK 2136.12:3734.83:5754.2 +SETUP negedge:ADDSUBBOT posedge:CLK 957.885:1674.78:2580.31 +SETUP negedge:ADDSUBTOP posedge:CLK 680.52:1189.83:1833.16 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK 2289.16:4002.39:6166.44 +SETUP negedge:B[1] posedge:CLK 2357.61:4122.07:6350.82 +SETUP negedge:B[2] posedge:CLK 2319.51:4055.45:6248.19 +SETUP negedge:B[3] posedge:CLK 2367.47:4139.31:6377.39 +SETUP negedge:B[4] posedge:CLK 2278.87:3984.4:6138.72 +SETUP negedge:B[5] posedge:CLK 2333.7:4080.27:6286.43 +SETUP negedge:B[6] posedge:CLK 2161.47:3779.14:5822.48 +SETUP negedge:B[7] posedge:CLK 2211.7:3866.97:5957.8 +SETUP negedge:B[8] posedge:CLK 1961.63:3429.73:5284.15 +SETUP negedge:B[9] posedge:CLK 2071.95:3622.62:5581.34 +SETUP negedge:B[10] posedge:CLK 2005.78:3506.92:5403.08 +SETUP negedge:B[11] posedge:CLK 2095.62:3664.02:5645.11 +SETUP negedge:B[12] posedge:CLK 2000.98:3498.55:5390.17 +SETUP negedge:B[13] posedge:CLK 2015.34:3523.64:5428.84 +SETUP negedge:B[14] posedge:CLK 1920.78:3358.32:5174.13 +SETUP negedge:B[15] posedge:CLK 1983.94:3468.74:5344.24 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.2711:147.341:227.006 +SETUP negedge:C[1] posedge:CLK 80.648:141.006:217.246 +SETUP negedge:C[2] posedge:CLK 74.1534:129.651:199.751 +SETUP negedge:C[3] posedge:CLK 66.8924:116.955:180.192 +SETUP negedge:C[4] posedge:CLK 73.5305:128.562:198.073 +SETUP negedge:C[5] posedge:CLK 64.6543:113.042:174.163 +SETUP negedge:C[6] posedge:CLK 80.525:140.791:216.915 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4504:130.17:200.551 +SETUP negedge:C[12] posedge:CLK 68.8035:120.297:185.34 +SETUP negedge:C[13] posedge:CLK 74.9539:131.05:201.908 +SETUP negedge:C[14] posedge:CLK 70.5106:123.282:189.939 +SETUP negedge:C[15] posedge:CLK 66.321:115.957:178.653 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK 106.848:186.815:287.824 +SETUP negedge:D[1] posedge:CLK 92.7589:162.181:249.87 +SETUP negedge:D[2] posedge:CLK 85.7392:149.908:230.961 +SETUP negedge:D[3] posedge:CLK 66.5089:116.285:179.159 +SETUP negedge:D[4] posedge:CLK 87.1725:152.413:234.822 +SETUP negedge:D[5] posedge:CLK 94.1631:164.636:253.653 +SETUP negedge:D[6] posedge:CLK 76.3664:133.52:205.713 +SETUP negedge:D[7] posedge:CLK 74.2906:129.891:200.121 +SETUP negedge:D[8] posedge:CLK 73.792:129.019:198.778 +SETUP negedge:D[9] posedge:CLK 72.8411:127.356:196.216 +SETUP negedge:D[10] posedge:CLK 83.4564:145.916:224.811 +SETUP negedge:D[11] posedge:CLK 70.5091:123.279:189.935 +SETUP negedge:D[12] posedge:CLK 69.6325:121.746:187.573 +SETUP negedge:D[13] posedge:CLK 53.8276:94.1128:144.999 +SETUP negedge:D[14] posedge:CLK 86.0746:150.494:231.864 +SETUP negedge:D[15] posedge:CLK 52.9401:92.5612:142.608 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.082:279.89:431.224 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.03:292.777 +SETUP negedge:OLOADBOT posedge:CLK 168.288:294.236:453.326 +SETUP negedge:OLOADTOP posedge:CLK 135.952:237.7:366.222 +SETUP posedge:A[0] posedge:CLK 2134.47:3731.94:5749.76 +SETUP posedge:A[1] posedge:CLK 2206.37:3857.65:5943.43 +SETUP posedge:A[2] posedge:CLK 2216.95:3876.14:5971.93 +SETUP posedge:A[3] posedge:CLK 2226.56:3892.95:5997.82 +SETUP posedge:A[4] posedge:CLK 2272.52:3973.3:6121.62 +SETUP posedge:A[5] posedge:CLK 2353.37:4114.66:6339.41 +SETUP posedge:A[6] posedge:CLK 2279.6:3985.68:6140.69 +SETUP posedge:A[7] posedge:CLK 2290.72:4005.12:6170.64 +SETUP posedge:A[8] posedge:CLK 1876.02:3280.06:5053.55 +SETUP posedge:A[9] posedge:CLK 1955.62:3419.22:5267.96 +SETUP posedge:A[10] posedge:CLK 1933.27:3380.15:5207.75 +SETUP posedge:A[11] posedge:CLK 1940.59:3392.96:5227.49 +SETUP posedge:A[12] posedge:CLK 2003.38:3502.74:5396.62 +SETUP posedge:A[13] posedge:CLK 2016.12:3525.02:5430.95 +SETUP posedge:A[14] posedge:CLK 2025.99:3542.27:5457.54 +SETUP posedge:A[15] posedge:CLK 2167.49:3789.67:5838.7 +SETUP posedge:ADDSUBBOT posedge:CLK 978.631:1711.05:2636.2 +SETUP posedge:ADDSUBTOP posedge:CLK 698.47:1221.21:1881.51 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK 2338.63:4088.89:6299.7 +SETUP posedge:B[1] posedge:CLK 2414.38:4221.33:6503.75 +SETUP posedge:B[2] posedge:CLK 2373.14:4149.23:6392.67 +SETUP posedge:B[3] posedge:CLK 2397.18:4191.27:6457.44 +SETUP posedge:B[4] posedge:CLK 2335.91:4084.13:6292.38 +SETUP posedge:B[5] posedge:CLK 2359.94:4126.16:6357.12 +SETUP posedge:B[6] posedge:CLK 2204.34:3854.1:5937.97 +SETUP posedge:B[7] posedge:CLK 2260.56:3952.4:6089.41 +SETUP posedge:B[8] posedge:CLK 2002.78:3501.69:5395.01 +SETUP posedge:B[9] posedge:CLK 2099.06:3670.02:5654.36 +SETUP posedge:B[10] posedge:CLK 2051.7:3587.22:5526.78 +SETUP posedge:B[11] posedge:CLK 2098.35:3668.78:5652.45 +SETUP posedge:B[12] posedge:CLK 2025.14:3540.78:5455.24 +SETUP posedge:B[13] posedge:CLK 2037.62:3562.59:5488.85 +SETUP posedge:B[14] posedge:CLK 1946.95:3404.07:5244.6 +SETUP posedge:B[15] posedge:CLK 2077.08:3631.59:5595.15 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.5168:130.286:200.73 +SETUP posedge:C[1] posedge:CLK 82.5498:144.331:222.369 +SETUP posedge:C[2] posedge:CLK 71.5711:125.136:192.795 +SETUP posedge:C[3] posedge:CLK 71.7717:125.487:193.336 +SETUP posedge:C[4] posedge:CLK 74.2011:129.734:199.88 +SETUP posedge:C[5] posedge:CLK 61.3797:107.317:165.342 +SETUP posedge:C[6] posedge:CLK 74.6879:130.585:201.191 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 66.1957:115.737:178.315 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 63.8071:111.561:171.881 +SETUP posedge:C[12] posedge:CLK 60.6609:106.06:163.406 +SETUP posedge:C[13] posedge:CLK 69.5538:121.609:187.361 +SETUP posedge:C[14] posedge:CLK 61.7086:107.892:166.228 +SETUP posedge:C[15] posedge:CLK 64.1296:112.125:172.75 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 94.4444:165.128:254.41 +SETUP posedge:D[1] posedge:CLK 93.8971:164.171:252.936 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8862:109.951:169.4 +SETUP posedge:D[4] posedge:CLK 82.9524:145.035:223.454 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8568:113.397:174.709 +SETUP posedge:D[7] posedge:CLK 76.8547:134.374:207.028 +SETUP posedge:D[8] posedge:CLK 67.8079:118.556:182.658 +SETUP posedge:D[9] posedge:CLK 67.8467:118.624:182.763 +SETUP posedge:D[10] posedge:CLK 74.3516:129.997:200.285 +SETUP posedge:D[11] posedge:CLK 62.339:108.994:167.926 +SETUP posedge:D[12] posedge:CLK 65.4606:114.452:176.335 +SETUP posedge:D[13] posedge:CLK 51.0127:89.1913:137.416 +SETUP posedge:D[14] posedge:CLK 81.9951:143.361:220.875 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.64:224.916:346.525 +SETUP posedge:OHOLDTOP posedge:CLK 78.7501:137.688:212.134 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.164:253.807:391.037 +IOPATH A[0] ACCUMCO 3394.44:5934.88:9143.8 3457.98:6045.98:9314.96 +IOPATH A[0] CO 3675.87:6426.95:9901.92 3726.43:6515.33:10038.1 +IOPATH A[0] SIGNEXTOUT 3021.23:5282.36:8138.47 2953.02:5163.1:7954.73 +IOPATH A[1] ACCUMCO 3481.75:6087.54:9379.01 3549.99:6206.85:9562.82 +IOPATH A[1] CO 3763.19:6579.61:10137.1 3818.44:6676.21:10286 +IOPATH A[1] SIGNEXTOUT 3108.56:5435.04:8373.71 3040.35:5315.79:8189.98 +IOPATH A[2] ACCUMCO 3489.63:6101.31:9400.22 3567.97:6238.28:9611.25 +IOPATH A[2] CO 3771.06:6593.38:10158.3 3836.42:6707.64:10334.4 +IOPATH A[2] SIGNEXTOUT 3116.44:5448.83:8394.95 3048.24:5329.58:8211.22 +IOPATH A[3] ACCUMCO 3515.92:6147.27:9471.03 3582.01:6262.83:9649.07 +IOPATH A[3] CO 3797.35:6639.34:10229.2 3850.46:6732.19:10372.2 +IOPATH A[3] SIGNEXTOUT 3142.74:5494.81:8465.79 3074.53:5375.56:8282.06 +IOPATH A[4] ACCUMCO 3600.92:6295.89:9700.01 3662.43:6403.44:9865.71 +IOPATH A[4] CO 3882.36:6787.96:10458.1 3930.88:6872.8:10588.8 +IOPATH A[4] SIGNEXTOUT 3227.74:5643.42:8694.76 3159.53:5524.17:8511.02 +IOPATH A[5] ACCUMCO 3674.95:6425.34:9899.44 3799.91:6643.8:10236 +IOPATH A[5] CO 3956.39:6917.4:10657.6 4068.35:7113.16:10959.2 +IOPATH A[5] SIGNEXTOUT 3345.81:5849.85:9012.8 3277.6:5730.6:8829.07 +IOPATH A[6] ACCUMCO 3601.06:6296.13:9700.38 3672.19:6420.51:9892 +IOPATH A[6] CO 3882.49:6788.2:10458.5 3940.64:6889.86:10615.1 +IOPATH A[6] SIGNEXTOUT 3227.86:5643.64:8695.09 3159.66:5524.38:8511.35 +IOPATH A[7] ACCUMCO 3625.08:6338.13:9765.09 3691.05:6453.47:9942.79 +IOPATH A[7] CO 3906.51:6830.2:10523.2 3959.5:6922.83:10665.9 +IOPATH A[7] SIGNEXTOUT 3251.94:5685.73:8759.93 3183.73:5566.47:8576.2 +IOPATH A[8] ACCUMCO 3235.89:5657.67:8716.7 3290.88:5753.81:8864.83 +IOPATH A[8] CO 3517.32:6149.73:9474.83 3559.33:6223.17:9587.97 +IOPATH A[8] SIGNEXTOUT 2862.69:5005.16:7711.4 2794.48:4885.91:7527.66 +IOPATH A[9] ACCUMCO 3362.37:5878.81:9057.42 3426.17:5990.36:9229.28 +IOPATH A[9] CO 3643.81:6370.88:9815.54 3694.62:6459.72:9952.42 +IOPATH A[9] SIGNEXTOUT 2989.21:5226.37:8052.21 2921:5107.12:7868.48 +IOPATH A[10] ACCUMCO 3329.51:5821.35:8968.89 3388.18:5923.94:9126.95 +IOPATH A[10] CO 3610.94:6313.42:9727.01 3656.63:6393.3:9850.08 +IOPATH A[10] SIGNEXTOUT 2956.32:5168.87:7963.63 2888.12:5049.62:7779.89 +IOPATH A[11] ACCUMCO 3300.4:5770.47:8890.49 3400.64:5945.72:9160.5 +IOPATH A[11] CO 3581.84:6262.53:9648.61 3669.08:6415.07:9883.63 +IOPATH A[11] SIGNEXTOUT 2946.57:5151.81:7937.34 2878.36:5032.56:7753.6 +IOPATH A[12] ACCUMCO 3406.67:5956.26:9176.74 3507.38:6132.34:9448.03 +IOPATH A[12] CO 3688.1:6448.32:9934.86 3775.82:6601.7:10171.2 +IOPATH A[12] SIGNEXTOUT 3053.31:5338.44:8224.87 2985.1:5219.18:8041.14 +IOPATH A[13] ACCUMCO 3411.68:5965.02:9190.23 3529.04:6170.21:9506.37 +IOPATH A[13] CO 3693.11:6457.08:9948.35 3797.48:6639.57:10229.5 +IOPATH A[13] SIGNEXTOUT 3074.97:5376.31:8283.22 3006.76:5257.06:8099.49 +IOPATH A[14] ACCUMCO 3436.83:6009:9258 3543.48:6195.47:9545.29 +IOPATH A[14] CO 3718.27:6501.07:10016.1 3811.93:6664.83:10268.4 +IOPATH A[14] SIGNEXTOUT 3091.75:5405.65:8328.43 3023.54:5286.4:8144.69 +IOPATH A[15] ACCUMCO 3652.18:6385.52:9838.09 3786.38:6620.15:10199.6 +IOPATH A[15] CO 3933.62:6877.59:10596.2 4054.83:7089.51:10922.7 +IOPATH A[15] SIGNEXTOUT 3332.29:5826.22:8976.39 3264.08:5706.96:8792.65 +IOPATH ADDSUBBOT ACCUMCO 1109.37:1939.64:2988.38 1227.12:2145.51:3305.56 +IOPATH ADDSUBBOT CO 1390.81:2431.71:3746.5 1495.57:2614.87:4028.7 +IOPATH ADDSUBTOP ACCUMCO 789.312:1380.04:2126.22 930.767:1627.36:2507.26 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.819:386.083:594.834 +IOPATH B[0] ACCUMCO 3613.57:6318.01:9734.08 3772.61:6596.07:10162.5 +IOPATH B[0] CO 3895:6810.07:10492.2 4041.06:7065.43:10885.6 +IOPATH B[0] SIGNEXTOUT 3320.75:5806.04:8945.29 3252.54:5686.78:8761.56 +IOPATH B[1] ACCUMCO 3742.34:6543.15:10081 3901.37:6821.21:10509.4 +IOPATH B[1] CO 4023.78:7035.22:10839.1 4169.82:7290.57:11232.5 +IOPATH B[1] SIGNEXTOUT 3449.52:6031.18:9292.17 3381.31:5911.93:9108.44 +IOPATH B[2] ACCUMCO 3672.24:6420.59:9892.13 3833.5:6702.55:10326.5 +IOPATH B[2] CO 3953.68:6912.66:10650.2 4101.95:7171.9:11049.7 +IOPATH B[2] SIGNEXTOUT 3379.42:5908.62:9103.34 3311.21:5789.37:8919.61 +IOPATH B[3] ACCUMCO 3741.09:6540.98:10077.6 3872.19:6770.19:10430.8 +IOPATH B[3] CO 4022.53:7033.04:10835.7 4140.64:7239.55:11153.9 +IOPATH B[3] SIGNEXTOUT 3420.29:5980.09:9213.45 3352.09:5860.83:9029.72 +IOPATH B[4] ACCUMCO 3608.94:6309.92:9721.63 3768:6588.01:10150.1 +IOPATH B[4] CO 3890.38:6801.99:10479.7 4036.44:7057.37:10873.2 +IOPATH B[4] SIGNEXTOUT 3316.13:5797.96:8932.84 3247.92:5678.7:8749.11 +IOPATH B[5] ACCUMCO 3689.28:6450.39:9938.04 3848.26:6728.35:10366.3 +IOPATH B[5] CO 3970.72:6942.45:10696.2 4116.71:7197.7:11089.4 +IOPATH B[5] SIGNEXTOUT 3396.46:5938.42:9149.25 3328.26:5819.16:8965.52 +IOPATH B[6] ACCUMCO 3535.23:6181.03:9523.05 3684.53:6442.08:9925.23 +IOPATH B[6] CO 3816.66:6673.1:10281.2 3952.98:6911.44:10648.4 +IOPATH B[6] SIGNEXTOUT 3232.74:5652.17:8708.23 3164.53:5532.91:8524.49 +IOPATH B[7] ACCUMCO 3623.2:6334.84:9760.02 3782.24:6612.92:10188.5 +IOPATH B[7] CO 3904.63:6826.91:10518.1 4050.69:7082.28:10911.6 +IOPATH B[7] SIGNEXTOUT 3330.38:5822.88:8971.24 3262.17:5703.62:8787.5 +IOPATH B[8] ACCUMCO 3355.51:5866.81:9038.93 3504.08:6126.58:9439.14 +IOPATH B[8] CO 3636.94:6358.88:9797.05 3772.53:6595.93:10162.3 +IOPATH B[8] SIGNEXTOUT 3052.29:5336.66:8222.13 2984.08:5217.41:8038.4 +IOPATH B[9] ACCUMCO 3543.08:6194.76:9544.2 3667.76:6412.75:9880.05 +IOPATH B[9] CO 3824.51:6686.83:10302.3 3936.21:6882.11:10603.2 +IOPATH B[9] SIGNEXTOUT 3215.96:5622.82:8663.02 3147.75:5503.57:8479.28 +IOPATH B[10] ACCUMCO 3430.51:5997.95:9240.97 3586.94:6271.45:9662.35 +IOPATH B[10] CO 3711.95:6490.01:9999.09 3855.39:6740.81:10385.5 +IOPATH B[10] SIGNEXTOUT 3135.45:5482.06:8446.14 3067.24:5362.8:8262.41 +IOPATH B[11] ACCUMCO 3583.31:6265.1:9652.57 3666.54:6410.62:9876.77 +IOPATH B[11] CO 3864.74:6757.17:10410.7 3934.99:6879.98:10599.9 +IOPATH B[11] SIGNEXTOUT 3214.76:5620.72:8659.78 3146.55:5501.47:8476.05 +IOPATH B[12] ACCUMCO 3422.43:5983.82:9219.21 3542.1:6193.05:9541.56 +IOPATH B[12] CO 3703.87:6475.89:9977.33 3810.55:6662.41:10264.7 +IOPATH B[12] SIGNEXTOUT 3090.3:5403.11:8324.52 3022.09:5283.86:8140.78 +IOPATH B[13] ACCUMCO 3446.82:6026.46:9284.9 3563.34:6230.18:9598.77 +IOPATH B[13] CO 3728.25:6518.53:10043 3831.78:6699.54:10321.9 +IOPATH B[13] SIGNEXTOUT 3111.51:5440.2:8381.65 3043.3:5320.94:8197.91 +IOPATH B[14] ACCUMCO 3286.04:5745.36:8851.8 3409.12:5960.55:9183.35 +IOPATH B[14] CO 3567.48:6237.42:9609.92 3677.57:6429.91:9906.48 +IOPATH B[14] SIGNEXTOUT 2957.37:5170.7:7966.44 2889.16:5051.45:7782.7 +IOPATH B[15] ACCUMCO 3471.42:6069.47:9351.16 3630.43:6347.5:9779.51 +IOPATH B[15] CO 3752.85:6561.53:10109.3 3898.88:6816.85:10502.6 +IOPATH B[15] SIGNEXTOUT 3178.6:5557.5:8562.37 3110.39:5438.24:8378.64 +IOPATH posedge:CLK ACCUMCO 1290.96:2257.12:3477.52 1398.43:2445.04:3767.04 +IOPATH posedge:CLK CO 1572.39:2749.19:4235.65 1666.88:2914.39:4490.17 +IOPATH posedge:CLK O[0] 484.291:846.74:1304.56 503.715:880.702:1356.89 +IOPATH posedge:CLK O[1] 480.645:840.365:1294.74 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.809:933.318:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.145:865.718:1333.8 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.299:883.471:1361.15 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.948:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.966:1019.26:1570.37 630.996:1103.24:1699.75 +IOPATH posedge:CLK O[9] 582.292:1018.09:1568.55 644.106:1126.16:1735.07 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.17:1136.76:1751.4 694.549:1214.36:1870.95 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 576.898:1008.66:1554.02 623.225:1089.65:1678.82 +IOPATH posedge:CLK O[14] 621.547:1086.72:1674.3 671.774:1174.54:1809.6 +IOPATH posedge:CLK O[15] 628.295:1098.52:1692.47 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 616.964:1078.71:1661.95 697.99:1220.37:1880.22 +IOPATH posedge:CLK O[17] 612.73:1071.3:1650.55 684.272:1196.39:1843.26 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 726.803:1270.75:1957.83 +IOPATH posedge:CLK O[19] 675.822:1181.62:1820.5 734.119:1283.54:1977.54 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.274:1194.65:1840.58 +IOPATH posedge:CLK O[21] 575.777:1006.7:1551 614.033:1073.58:1654.06 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.816:1022.5:1575.35 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.32:997.155:1536.31 610.807:1067.94:1645.37 +IOPATH posedge:CLK O[25] 583.365:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.274:1063.51:1638.54 672.005:1174.94:1810.22 +IOPATH posedge:CLK O[27] 566.105:989.785:1524.95 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.697:1029.29:1585.81 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.5021:55.0787:84.8591 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2156:148.992:229.55 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.306:135.163:208.244 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.1231:43.9256:67.6756 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 66.9548:117.065:180.36 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3803:161.519:248.85 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 80.677:141.057:217.324 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -6875,347 +6875,347 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 957.942:1674.88:2580.46 +SETUP negedge:ADDSUBTOP posedge:CLK 680.604:1189.98:1833.38 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4279:-42.71:-65.8028 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6426:-25.6013:-39.4436 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.141:279.993:431.383 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.495:294.598:453.884 +SETUP negedge:OLOADTOP posedge:CLK 136.06:237.889:366.512 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7791:-22.3432:-34.4239 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 978.688:1711.15:2636.35 +SETUP posedge:ADDSUBTOP posedge:CLK 698.619:1221.47:1881.91 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.922382:1.6127:2.48468 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.61591:-9.81893:-15.1279 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.714:225.045:346.725 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.394:254.208:391.656 +IOPATH ADDSUBBOT ACCUMCO 1109.53:1939.92:2988.81 1227.22:2145.68:3305.82 +IOPATH ADDSUBBOT CO 1390.97:2431.99:3746.93 1495.66:2615.04:4028.96 +IOPATH ADDSUBTOP ACCUMCO 789.551:1380.46:2126.86 931.02:1627.81:2507.94 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.943:386.299:595.167 +IOPATH posedge:CLK ACCUMCO 1371.95:2398.74:3695.71 1500.67:2623.79:4042.44 +IOPATH posedge:CLK CO 1653.39:2890.8:4453.83 1769.12:3093.15:4765.58 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.949:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.198:982.953:1514.42 601.252:1051.24:1619.63 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.247:1087.95:1676.18 672.234:1175.34:1810.84 +IOPATH posedge:CLK O[15] 628.409:1098.72:1692.78 676.417:1182.66:1822.1 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.453:1221.18:1881.46 +IOPATH posedge:CLK O[17] 612.622:1071.12:1650.26 684.704:1197.14:1844.43 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 676.224:1182.32:1821.58 734.822:1284.77:1979.43 +IOPATH posedge:CLK O[20] 636.416:1112.72:1714.35 683.592:1195.2:1841.43 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 585.117:1023.03:1576.16 632.255:1105.44:1703.14 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.427:1063.78:1638.95 673.07:1176.8:1813.09 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.048:972.202:1497.86 592.476:1035.89:1595.99 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 659.244:1152.63:1775.84 625.861:1094.26:1685.92 CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.5021:55.0787:84.8591 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2156:148.992:229.55 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.306:135.163:208.244 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.1231:43.9256:67.6756 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 66.9548:117.065:180.36 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3803:161.519:248.85 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 80.677:141.057:217.324 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -7228,347 +7228,347 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 957.942:1674.88:2580.46 +SETUP negedge:ADDSUBTOP posedge:CLK 680.604:1189.98:1833.38 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4279:-42.71:-65.8028 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6426:-25.6013:-39.4436 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.141:279.993:431.383 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.495:294.598:453.884 +SETUP negedge:OLOADTOP posedge:CLK 136.06:237.889:366.512 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7791:-22.3432:-34.4239 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 978.688:1711.15:2636.35 +SETUP posedge:ADDSUBTOP posedge:CLK 698.619:1221.47:1881.91 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.922382:1.6127:2.48468 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.61591:-9.81893:-15.1279 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.714:225.045:346.725 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.394:254.208:391.656 +IOPATH ADDSUBBOT ACCUMCO 1109.53:1939.92:2988.81 1227.22:2145.68:3305.82 +IOPATH ADDSUBBOT CO 1390.97:2431.99:3746.93 1495.66:2615.04:4028.96 +IOPATH ADDSUBTOP ACCUMCO 789.551:1380.46:2126.86 931.02:1627.81:2507.94 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.943:386.299:595.167 +IOPATH posedge:CLK ACCUMCO 1371.95:2398.74:3695.71 1500.67:2623.79:4042.44 +IOPATH posedge:CLK CO 1653.39:2890.8:4453.83 1769.12:3093.15:4765.58 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.949:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.198:982.953:1514.42 601.252:1051.24:1619.63 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.247:1087.95:1676.18 672.234:1175.34:1810.84 +IOPATH posedge:CLK O[15] 628.409:1098.72:1692.78 676.417:1182.66:1822.1 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.453:1221.18:1881.46 +IOPATH posedge:CLK O[17] 612.622:1071.12:1650.26 684.704:1197.14:1844.43 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 676.224:1182.32:1821.58 734.822:1284.77:1979.43 +IOPATH posedge:CLK O[20] 636.416:1112.72:1714.35 683.592:1195.2:1841.43 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 585.117:1023.03:1576.16 632.255:1105.44:1703.14 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.427:1063.78:1638.95 673.07:1176.8:1813.09 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.048:972.202:1497.86 592.476:1035.89:1595.99 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 659.244:1152.63:1775.84 625.861:1094.26:1685.92 CELL SB_MAC16_MAC_U_16X16_IM_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.5021:55.0787:84.8591 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9992:171.343:263.986 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2382:149.032:229.611 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.3041:135.159:208.239 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.3475:152.719:235.293 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.1231:43.9256:67.6756 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3086:136.916:210.944 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 67.0453:117.223:180.604 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3813:161.521:248.853 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 80.677:141.057:217.324 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -7581,347 +7581,347 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3846:-42.6344:-65.6863 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 957.885:1674.78:2580.31 +SETUP negedge:ADDSUBTOP posedge:CLK 680.604:1189.98:1833.38 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7978:-46.8535:-72.1867 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4284:-42.7109:-65.8042 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7996:-18.8822:-29.0916 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6229:-25.5669:-39.3906 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.151:280.01:431.408 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.495:294.598:453.884 +SETUP negedge:OLOADTOP posedge:CLK 136.06:237.889:366.512 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7796:-22.344:-34.4252 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 978.631:1711.05:2636.2 +SETUP posedge:ADDSUBTOP posedge:CLK 698.619:1221.47:1881.91 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.074:-31.6008:-48.6869 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.58739:-9.76907:-15.0511 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.728:225.07:346.763 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.394:254.208:391.656 +IOPATH ADDSUBBOT ACCUMCO 1109.37:1939.64:2988.38 1227.12:2145.51:3305.56 +IOPATH ADDSUBBOT CO 1390.81:2431.71:3746.5 1495.57:2614.87:4028.7 +IOPATH ADDSUBTOP ACCUMCO 789.551:1380.46:2126.86 931.02:1627.81:2507.94 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1371.81:2398.48:3695.32 1500.57:2623.62:4042.18 +IOPATH posedge:CLK CO 1653.24:2890.55:4453.44 1769.02:3092.98:4765.32 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 561.895:982.424:1513.61 597.949:1045.46:1610.73 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.29:983.114:1514.67 601.295:1051.31:1619.74 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.315:1088.06:1676.37 672.393:1175.62:1811.27 +IOPATH posedge:CLK O[15] 628.433:1098.76:1692.85 676.544:1182.88:1822.45 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.453:1221.18:1881.46 +IOPATH posedge:CLK O[17] 612.622:1071.12:1650.26 684.704:1197.14:1844.43 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 676.224:1182.32:1821.58 734.822:1284.77:1979.43 +IOPATH posedge:CLK O[20] 636.406:1112.7:1714.32 683.458:1194.97:1841.07 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 584.933:1022.7:1575.67 632.227:1105.39:1703.07 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 608.427:1063.78:1638.95 673.07:1176.8:1813.09 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.046:972.197:1497.85 592.441:1035.83:1595.89 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 659:1152.2:1775.19 625.425:1093.5:1684.74 CELL SB_MAC16_MAS_U_8X8_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4067:158.068:243.534 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 104.622:182.922:281.825 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.755:59.0176:90.9277 +HOLD negedge:ADDSUBTOP posedge:CLK 31.7499:55.5119:85.5266 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1261:173.313:267.022 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.707:169.084:260.505 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.275:203.296:313.216 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.556:203.788:313.974 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5296:158.283:243.865 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 87.0284:152.162:234.434 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.1097:155.8:240.04 +HOLD negedge:D[8] posedge:CLK 124.891:218.361:336.426 +HOLD negedge:D[9] posedge:CLK 85.2156:148.992:229.55 +HOLD negedge:D[10] posedge:CLK 127.421:222.785:343.243 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.833:214.763:330.883 +HOLD negedge:D[14] posedge:CLK 89.7017:156.836:241.635 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.052:201.159:309.923 +HOLD negedge:OHOLDBOT posedge:CLK 77.306:135.163:208.244 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.2856:166.599:256.676 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.0115:120.661:185.9 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 79.1198:138.334:213.13 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.4518:49.7454:76.6422 +HOLD posedge:ADDSUBTOP posedge:CLK 25.6531:44.8523:69.1034 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4549:119.687:184.401 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8243:111.591:171.927 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6648:132.293:203.823 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1752:171.651:264.46 +HOLD posedge:C[2] posedge:CLK 95.6401:167.218:257.631 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.292:166.61:256.694 +HOLD posedge:C[5] posedge:CLK 96.5187:168.755:259.998 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2553:119.338:183.863 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 66.5605:116.375:179.298 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.069:120.761:186.055 +HOLD posedge:D[8] posedge:CLK 102.435:179.099:275.935 +HOLD posedge:D[9] posedge:CLK 66.9548:117.065:180.36 +HOLD posedge:D[10] posedge:CLK 103.325:180.656:278.334 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.3218:173.655:267.549 +HOLD posedge:D[14] posedge:CLK 69.0857:120.79:186.1 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 92.3803:161.519:248.85 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.2092:147.232:226.839 +HOLD posedge:OLOADTOP posedge:CLK 81.0865:141.773:218.428 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 348.814:609.871:939.622 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -7934,345 +7934,345 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 278.309:278.309:278.309 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 -IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 +SETUP negedge:A[0] posedge:CLK -38.0915:-66.5997:-102.609 +SETUP negedge:A[1] posedge:CLK -35.3421:-61.7925:-95.2031 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7809:-55.5661:-85.61 +SETUP negedge:A[4] posedge:CLK -33.0592:-57.8012:-89.0536 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -29.4636:-51.5146:-79.3679 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0696:-19.3542:-29.8187 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.104:1178.61:1815.87 +SETUP negedge:ADDSUBTOP posedge:CLK 676.75:1183.24:1823 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.525:-35.8861:-55.2893 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4279:-42.71:-65.8028 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8633:-24.2387:-37.3443 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK -32.0021:-55.9529:-86.206 +SETUP negedge:C[1] posedge:CLK -33.1822:-58.0161:-89.3847 +SETUP negedge:C[2] posedge:CLK -38.8217:-67.8763:-104.576 +SETUP negedge:C[3] posedge:CLK -36.8073:-64.3543:-99.1499 +SETUP negedge:C[4] posedge:CLK -31.1963:-54.544:-84.0353 +SETUP negedge:C[5] posedge:CLK -30.7597:-53.7806:-82.8591 +SETUP negedge:C[6] posedge:CLK -34.7855:-60.8194:-93.7038 +SETUP negedge:C[7] posedge:CLK -30.8737:-53.98:-83.1664 +SETUP negedge:C[8] posedge:CLK -31.5645:-55.1878:-85.0273 +SETUP negedge:C[9] posedge:CLK -34.2737:-59.9245:-92.325 +SETUP negedge:C[10] posedge:CLK -31.9417:-55.8472:-86.0431 +SETUP negedge:C[11] posedge:CLK -31.4224:-54.9394:-84.6445 +SETUP negedge:C[12] posedge:CLK -34.9605:-61.1255:-94.1753 +SETUP negedge:C[13] posedge:CLK -34.6709:-60.6191:-93.3952 +SETUP negedge:C[14] posedge:CLK -33.6709:-58.8706:-90.7012 +SETUP negedge:C[15] posedge:CLK -42.5692:-74.4285:-114.671 +SETUP negedge:CHOLD posedge:CLK 96.6549:168.993:260.365 +SETUP negedge:D[0] posedge:CLK -23.8202:-41.6475:-64.1658 +SETUP negedge:D[1] posedge:CLK -22.475:-39.2955:-60.5421 +SETUP negedge:D[2] posedge:CLK -19.3685:-33.8642:-52.1742 +SETUP negedge:D[3] posedge:CLK -23.4013:-40.9151:-63.0374 +SETUP negedge:D[4] posedge:CLK -13.3534:-23.3472:-35.9708 +SETUP negedge:D[5] posedge:CLK -19.9374:-34.8588:-53.7066 +SETUP negedge:D[6] posedge:CLK -15.8963:-27.7934:-42.8209 +SETUP negedge:D[7] posedge:CLK -21.8372:-38.1805:-58.8243 +SETUP negedge:D[8] posedge:CLK -44.7159:-78.1818:-120.454 +SETUP negedge:D[9] posedge:CLK -14.6426:-25.6013:-39.4436 +SETUP negedge:D[10] posedge:CLK -45.6397:-79.7971:-122.942 +SETUP negedge:D[11] posedge:CLK -46.5478:-81.3848:-125.389 +SETUP negedge:D[12] posedge:CLK -45.9701:-80.3747:-123.832 +SETUP negedge:D[13] posedge:CLK -44.4627:-77.7391:-119.772 +SETUP negedge:D[14] posedge:CLK -15.2463:-26.6569:-41.07 +SETUP negedge:D[15] posedge:CLK -42.8785:-74.9693:-115.504 +SETUP negedge:DHOLD posedge:CLK 118.176:206.62:318.338 +SETUP negedge:OHOLDBOT posedge:CLK 160.141:279.993:431.383 +SETUP negedge:OHOLDTOP posedge:CLK 108.807:190.239:293.099 +SETUP negedge:OLOADBOT posedge:CLK 168.99:295.464:455.217 +SETUP negedge:OLOADTOP posedge:CLK 136.838:239.248:368.607 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7791:-22.3432:-34.4239 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -18.7776:-32.8309:-50.5822 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.803:1214.8:1871.63 +SETUP posedge:ADDSUBTOP posedge:CLK 695.382:1215.81:1873.19 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.3655:-18.1232:-27.9221 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3763:-32.1294:-49.5015 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.922382:1.6127:2.48468 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.1262:-8.96272:-13.8088 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK -25.8862:-45.2597:-69.7312 +SETUP posedge:C[1] posedge:CLK -23.8275:-41.6604:-64.1857 +SETUP posedge:C[2] posedge:CLK -27.8588:-48.7087:-75.0449 +SETUP posedge:C[3] posedge:CLK -24.1462:-42.2174:-65.0439 +SETUP posedge:C[4] posedge:CLK -20.8475:-36.45:-56.1582 +SETUP posedge:C[5] posedge:CLK -23.6422:-41.3363:-63.6864 +SETUP posedge:C[6] posedge:CLK -30.5153:-53.3534:-82.2009 +SETUP posedge:C[7] posedge:CLK -22.0467:-38.5467:-59.3885 +SETUP posedge:C[8] posedge:CLK -25.5445:-44.6623:-68.8107 +SETUP posedge:C[9] posedge:CLK -26.9389:-47.1003:-72.5668 +SETUP posedge:C[10] posedge:CLK -22.6008:-39.5156:-60.8812 +SETUP posedge:C[11] posedge:CLK -21.5978:-37.7619:-58.1793 +SETUP posedge:C[12] posedge:CLK -28.1626:-49.2399:-75.8634 +SETUP posedge:C[13] posedge:CLK -23.9687:-41.9071:-64.5658 +SETUP posedge:C[14] posedge:CLK -26.9585:-47.1346:-72.6198 +SETUP posedge:C[15] posedge:CLK -35.9149:-62.794:-96.746 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK -15.445:-27.0042:-41.6051 +SETUP posedge:D[1] posedge:CLK -11.1772:-19.5424:-30.1088 +SETUP posedge:D[2] posedge:CLK -5.66311:-9.90145:-15.2551 +SETUP posedge:D[3] posedge:CLK -15.0821:-26.3698:-40.6276 +SETUP posedge:D[4] posedge:CLK -7.67259:-13.4148:-20.6681 +SETUP posedge:D[5] posedge:CLK -12.6646:-22.1429:-34.1153 +SETUP posedge:D[6] posedge:CLK -4.97968:-8.70654:-13.4141 +SETUP posedge:D[7] posedge:CLK -14.8422:-25.9503:-39.9813 +SETUP posedge:D[8] posedge:CLK -40.6576:-71.0862:-109.522 +SETUP posedge:D[9] posedge:CLK -5.61591:-9.81893:-15.1279 +SETUP posedge:D[10] posedge:CLK -36.4238:-63.6838:-98.1169 +SETUP posedge:D[11] posedge:CLK -42.2919:-73.9437:-113.924 +SETUP posedge:D[12] posedge:CLK -38.0984:-66.6117:-102.628 +SETUP posedge:D[13] posedge:CLK -30.5183:-53.3585:-82.2088 +SETUP posedge:D[14] posedge:CLK -7.14846:-12.4985:-19.2562 +SETUP posedge:D[15] posedge:CLK -33.6458:-58.8267:-90.6337 +SETUP posedge:DHOLD posedge:CLK 95.2723:166.575:256.641 +SETUP posedge:OHOLDBOT posedge:CLK 128.714:225.045:346.725 +SETUP posedge:OHOLDTOP posedge:CLK 78.8499:137.862:212.403 +SETUP posedge:OLOADBOT posedge:CLK 163.125:285.211:439.421 +SETUP posedge:OLOADTOP posedge:CLK 145.593:254.557:392.193 +IOPATH ADDSUBTOP ACCUMCO 787.55:1376.96:2121.47 929.941:1625.92:2505.04 +IOPATH ADDSUBTOP CO 226.038:395.208:608.892 220.948:386.308:595.18 +IOPATH posedge:CLK ACCUMCO 1100.92:1924.86:2965.61 1249.72:2185.02:3366.43 +IOPATH posedge:CLK CO 1382.35:2416.92:3723.73 1518.16:2654.38:4089.57 +IOPATH posedge:CLK O[0] 484.416:846.959:1304.9 503.908:881.039:1357.41 +IOPATH posedge:CLK O[1] 480.851:840.726:1295.3 488.366:853.864:1315.54 +IOPATH posedge:CLK O[2] 494.478:864.552:1332 511.702:894.667:1378.4 +IOPATH posedge:CLK O[3] 533.81:933.32:1437.95 552.823:966.562:1489.17 +IOPATH posedge:CLK O[4] 495.289:865.969:1334.19 516.326:902.751:1390.86 +IOPATH posedge:CLK O[5] 505.429:883.699:1361.5 531.232:928.813:1431.01 +IOPATH posedge:CLK O[6] 565.638:988.968:1523.69 599.67:1048.47:1615.37 +IOPATH posedge:CLK O[7] 548.402:958.833:1477.26 583.72:1020.58:1572.4 +IOPATH posedge:CLK O[8] 582.967:1019.27:1570.37 630.997:1103.24:1699.75 +IOPATH posedge:CLK O[9] 584.23:1021.47:1573.77 644.231:1126.38:1735.4 +IOPATH posedge:CLK O[10] 562.198:982.953:1514.42 601.252:1051.24:1619.63 +IOPATH posedge:CLK O[11] 650.295:1136.98:1751.74 694.728:1214.67:1871.43 +IOPATH posedge:CLK O[12] 562.067:982.725:1514.07 595.268:1040.77:1603.51 +IOPATH posedge:CLK O[13] 577.028:1008.88:1554.37 623.397:1089.96:1679.28 +IOPATH posedge:CLK O[14] 622.247:1087.95:1676.18 672.234:1175.34:1810.84 +IOPATH posedge:CLK O[15] 628.409:1098.72:1692.78 676.417:1182.66:1822.1 +IOPATH posedge:CLK O[16] 617.061:1078.88:1662.21 698.456:1221.19:1881.47 +IOPATH posedge:CLK O[17] 614.371:1074.17:1654.97 684.719:1197.17:1844.47 +IOPATH posedge:CLK O[18] 634.244:1108.92:1708.5 727.072:1271.22:1958.56 +IOPATH posedge:CLK O[19] 679.897:1188.74:1831.48 736.941:1288.48:1985.14 +IOPATH posedge:CLK O[20] 636.416:1112.72:1714.35 683.592:1195.2:1841.43 +IOPATH posedge:CLK O[21] 575.928:1006.96:1551.41 615.075:1075.4:1656.86 +IOPATH posedge:CLK O[22] 587.801:1027.72:1583.39 645.037:1127.79:1737.57 +IOPATH posedge:CLK O[23] 585.117:1023.03:1576.16 632.255:1105.44:1703.14 +IOPATH posedge:CLK O[24] 570.471:997.418:1536.71 611.06:1068.39:1646.05 +IOPATH posedge:CLK O[25] 583.366:1019.96:1571.45 631.082:1103.39:1699.98 +IOPATH posedge:CLK O[26] 609.507:1065.67:1641.86 673.925:1178.3:1815.39 +IOPATH posedge:CLK O[27] 566.18:989.917:1525.15 603.521:1055.2:1625.74 +IOPATH posedge:CLK O[28] 556.048:972.202:1497.86 592.476:1035.89:1595.99 +IOPATH posedge:CLK O[29] 554.749:969.929:1494.36 588.854:1029.56:1586.23 +IOPATH posedge:CLK O[30] 538.732:941.926:1451.21 561.968:982.551:1513.81 +IOPATH posedge:CLK O[31] 529.07:925.033:1425.19 550.159:961.905:1482 +IOPATH posedge:CLK SIGNEXTOUT 616.913:1078.62:1661.81 676.987:1183.65:1823.64 CELL SB_MAC16_MUL_S_8X8_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 -HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 -HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 -HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9923:171.331:263.968 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5237:158.273:243.849 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0959:155.776:240.003 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.8773:148.401:228.639 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5024:128.513:197.998 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6042:167.156:257.535 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2879:136.88:210.889 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.789:115.026:177.22 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1033:155.789:240.023 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.4948:147.732:227.609 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -8285,789 +8285,789 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 -SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 -SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 -SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 -SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 -SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 -SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 -SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 -SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 -SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 -SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 -SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 -IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 -IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 -IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 -IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 -IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 -IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 -IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 -IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 -IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 -IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 -IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 -IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 -IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 -IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 -IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 -IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 -IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 -IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 -IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 -IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 -IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 -IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 -IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 -IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 -IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 -IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 -IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 -IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 -IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 -IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 -IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 -IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 -IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 +SETUP negedge:A[0] posedge:CLK -38.0905:-66.598:-102.607 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0671:-19.3499:-29.8121 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8051:-46.8664:-72.2066 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4294:-42.7126:-65.8068 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8001:-18.8831:-29.0929 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.8645:122.152:188.198 +SETUP negedge:C[15] posedge:CLK 67.4632:117.954:181.73 +SETUP negedge:CHOLD posedge:CLK 96.6436:168.973:260.335 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.35:126.498:194.893 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0483:94.4988:145.593 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.155:206.583:318.281 +SETUP negedge:OHOLDBOT posedge:CLK 153.917:269.111:414.616 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7831:-22.3501:-34.4345 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1649:-31.7598:-48.9319 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.724:-20.4984:-31.5816 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8092:102.823:158.418 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.349:114.257:176.034 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.2937:121.154:186.661 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0107:113.666:175.123 +SETUP posedge:D[13] posedge:CLK 51.7753:90.5246:139.47 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.271:219.026:337.451 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.32:2282.23:3516.2 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.76:2751.59:4239.34 +IOPATH posedge:CLK O[0] 579.857:1013.83:1561.99 649.809:1136.13:1750.43 +IOPATH posedge:CLK O[1] 668.169:1168.23:1799.89 739.595:1293.12:1992.29 +IOPATH posedge:CLK O[2] 663.695:1160.41:1787.83 734.566:1284.32:1978.74 +IOPATH posedge:CLK O[3] 646.049:1129.56:1740.3 702.957:1229.06:1893.6 +IOPATH posedge:CLK O[4] 642.582:1123.5:1730.96 698.152:1220.66:1880.65 +IOPATH posedge:CLK O[5] 652.131:1140.19:1756.68 715.202:1250.47:1926.58 +IOPATH posedge:CLK O[6] 642.892:1124.04:1731.8 706.011:1234.4:1901.82 +IOPATH posedge:CLK O[7] 569.275:995.327:1533.49 622.419:1088.24:1676.65 +IOPATH posedge:CLK O[8] 656.102:1147.14:1767.38 687.969:1202.85:1853.22 +IOPATH posedge:CLK O[9] 620.098:1084.19:1670.4 666.842:1165.92:1796.31 +IOPATH posedge:CLK O[10] 710.754:1242.69:1914.6 749.733:1310.84:2019.6 +IOPATH posedge:CLK O[11] 700.495:1224.75:1886.97 737.003:1288.59:1985.31 +IOPATH posedge:CLK O[12] 665.935:1164.33:1793.87 700.743:1225.19:1887.63 +IOPATH posedge:CLK O[13] 634.211:1108.86:1708.41 669.464:1170.5:1803.37 +IOPATH posedge:CLK O[14] 749.947:1311.22:2020.18 786.965:1375.94:2119.89 +IOPATH posedge:CLK O[15] 667.199:1166.54:1797.27 705.277:1233.12:1899.85 +IOPATH posedge:CLK O[16] 614.13:1073.75:1654.32 666.897:1166.01:1796.46 +IOPATH posedge:CLK O[17] 615.695:1076.49:1658.53 686.943:1201.06:1850.46 +IOPATH posedge:CLK O[18] 611.06:1068.39:1646.05 681.206:1191.03:1835.01 +IOPATH posedge:CLK O[19] 687.925:1202.78:1853.1 745.936:1304.2:2009.37 +IOPATH posedge:CLK O[20] 651.447:1139:1754.84 702.76:1228.72:1893.07 +IOPATH posedge:CLK O[21] 599.683:1048.49:1615.4 651.257:1138.67:1754.33 +IOPATH posedge:CLK O[22] 587.845:1027.8:1583.51 642.552:1123.45:1730.88 +IOPATH posedge:CLK O[23] 572.713:1001.34:1542.75 626.092:1094.67:1686.54 +IOPATH posedge:CLK O[24] 608.479:1063.87:1639.1 668.19:1168.27:1799.94 +IOPATH posedge:CLK O[25] 601.08:1050.93:1619.16 648.404:1133.68:1746.64 +IOPATH posedge:CLK O[26] 596.194:1042.39:1606 640.735:1120.27:1725.99 +IOPATH posedge:CLK O[27] 603.404:1055:1625.43 649.484:1135.57:1749.55 +IOPATH posedge:CLK O[28] 610.454:1067.32:1644.41 660.223:1154.34:1778.48 +IOPATH posedge:CLK O[29] 643.811:1125.65:1734.27 686.522:1200.32:1849.33 +IOPATH posedge:CLK O[30] 629.084:1099.9:1694.6 688.12:1203.12:1853.63 +IOPATH posedge:CLK O[31] 628.71:1099.24:1693.59 682.716:1193.67:1839.07 +IOPATH posedge:CLK SIGNEXTOUT 720.525:1259.78:1940.92 703.939:1230.78:1896.24 CELL SB_MAC16_MUL_S_8X8_BYPASS -IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 -IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11 -IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22 -IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41 -IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23 -IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28 -IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68 -IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49 -IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46 -IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07 -IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8 -IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26 -IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91 -IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49 -IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84 -IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51 -IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43 -IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 -IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 -IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63 -IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82 -IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65 -IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55 -IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7 -IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51 -IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48 -IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74 -IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48 -IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94 -IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58 -IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17 -IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51 -IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18 -IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11 -IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 -IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 -IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93 -IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76 -IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66 -IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678 -IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81 -IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77 -IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34 -IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08 -IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54 -IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18 -IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77 -IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11 -IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78 -IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71 -IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 -IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 -IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27 -IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26 -IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41 -IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22 -IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19 -IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98 -IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72 -IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18 -IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83 -IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41 -IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75 -IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43 -IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35 -IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 -IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 -IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37 -IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52 -IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33 -IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3 -IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62 -IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36 -IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82 -IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46 -IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05 -IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39 -IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06 -IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99 -IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 -IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 -IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32 -IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62 -IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52 -IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2 -IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93 -IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39 -IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04 -IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62 -IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97 -IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64 -IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57 -IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 -IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 -IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91 -IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83 -IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52 -IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25 -IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71 -IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36 -IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94 -IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29 -IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96 -IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88 -IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 -IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 -IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96 -IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64 -IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38 -IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84 -IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48 -IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07 -IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41 -IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08 -IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01 -IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 -IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 -IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34 -IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42 -IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77 -IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42 -IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82 -IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46 -IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37 -IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29 -IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18 -IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16 -IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54 -IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63 -IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68 -IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6 -IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84 -IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55 -IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 -IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 -IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56 -IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45 -IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39 -IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56 -IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37 -IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28 -IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2 -IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51 -IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49 -IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88 -IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97 -IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02 -IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94 -IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18 -IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89 -IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 -IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 -IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54 -IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27 -IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08 -IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74 -IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65 -IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57 -IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72 -IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7 -IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08 -IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17 -IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22 -IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14 -IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38 -IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09 -IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 -IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 -IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42 -IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78 -IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36 -IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27 -IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19 -IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15 -IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13 -IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52 -IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61 -IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66 -IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58 -IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82 -IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53 -IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 -IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 -IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8 -IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38 -IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29 -IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21 -IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49 -IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47 -IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85 -IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94 -IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99 -IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91 -IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15 -IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86 -IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 -IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 -IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28 -IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56 -IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18 -IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28 -IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26 -IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64 -IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73 -IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79 -IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7 -IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94 -IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65 -IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 -IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 -IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4 -IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9 -IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462 -IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98 -IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36 -IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45 -IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51 -IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42 -IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66 -IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37 -IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 -IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 -IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12 -IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22 -IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2 -IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58 -IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67 -IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72 -IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64 -IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88 -IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45 -IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12 -IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75 -IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59 -IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94 -IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09 -IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9 -IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87 -IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46 -IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2 -IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66 -IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31 -IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89 -IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23 -IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91 -IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83 -IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24 -IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64 -IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37 -IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21 -IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08 -IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24 -IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05 -IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02 -IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12 -IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86 -IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32 -IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96 -IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55 -IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89 -IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56 -IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49 -IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42 -IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24 -IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7 -IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86 -IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67 -IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64 -IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45 -IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19 -IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65 -IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29 -IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88 -IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22 -IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89 -IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82 -IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63 -IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29 -IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14 -IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29 -IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1 -IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07 -IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89 -IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62 -IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08 -IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73 -IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31 -IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66 -IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33 -IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25 -IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15 -IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31 -IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65 -IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23 -IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92 -IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65 -IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11 -IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76 -IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34 -IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68 -IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36 -IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28 -IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4 -IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56 -IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11 -IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88 -IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56 -IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3 -IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76 -IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4 -IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7 -IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03 -IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7 -IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63 -IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49 -IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46 -IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64 -IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38 -IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32 -IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57 -IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8 -IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14 -IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81 -IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74 -IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75 -IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72 -IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05 -IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92 -IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85 -IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1 -IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33 -IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67 -IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34 -IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26 -IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92 -IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99 -IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71 -IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46 -IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47 -IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04 -IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95 -IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87 -IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26 -IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24 -IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63 -IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72 -IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77 -IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69 -IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93 -IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64 -IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52 -IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24 -IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54 -IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28 -IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27 -IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84 -IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75 -IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67 -IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83 -IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81 -IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19 -IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29 -IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34 -IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26 -IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5 -IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21 -IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14 -IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86 -IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5 -IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08 -IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99 -IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82 -IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92 -IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9 -IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28 -IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37 -IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42 -IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34 -IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58 -IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29 -IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48 -IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667 -IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61 -IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18 -IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09 -IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92 -IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02 -IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946 -IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38 -IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47 -IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52 -IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44 -IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68 -IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39 -IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92 -IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5 -IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97 -IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47 -IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57 -IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55 -IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93 -IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02 -IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08 -IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99 -IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23 -IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94 -IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66 -IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24 -IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18 -IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95 -IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05 -IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03 -IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41 -IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5 -IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41 -IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32 -IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56 -IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27 -IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43 -IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35 -IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44 -IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42 -IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8 -IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89 -IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1 -IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716 -IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24 -IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95 -IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53 -IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5 -IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27 -IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41 -IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26 -IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76 -IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38 -IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28 -IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52 -IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23 +IOPATH A[0] ACCUMCO 725.168:1267.89:1953.43 950.218:1661.37:2559.66 +IOPATH A[0] CO 1006.6:1759.96:2711.55 1218.67:2130.73:3282.79 +IOPATH A[0] O[0] 732.351:1280.45:1972.78 812.301:1420.24:2188.14 +IOPATH A[0] O[1] 802.742:1403.52:2162.39 876.764:1532.94:2361.79 +IOPATH A[0] O[2] 913.076:1596.43:2459.61 970.276:1696.44:2613.69 +IOPATH A[0] O[3] 999.781:1748.03:2693.17 1056.72:1847.59:2846.56 +IOPATH A[0] O[4] 1074.72:1879.05:2895.03 1130.5:1976.58:3045.29 +IOPATH A[0] O[5] 1134.68:1983.89:3056.55 1196.58:2092.12:3223.3 +IOPATH A[0] O[6] 1177.52:2058.79:3171.96 1241.72:2171.04:3344.9 +IOPATH A[0] O[7] 1221.19:2135.14:3289.59 1272.68:2225.17:3428.3 +IOPATH A[0] O[8] 1428.49:2497.6:3848.02 1460.31:2553.22:3933.72 +IOPATH A[0] O[9] 1457.86:2548.93:3927.11 1500:2622.62:4040.64 +IOPATH A[0] O[10] 1517.19:2652.68:4086.96 1555.79:2720.16:4190.92 +IOPATH A[0] O[11] 1610.07:2815.06:4337.13 1645.1:2876.31:4431.5 +IOPATH A[0] O[12] 1550.66:2711.19:4177.11 1585.89:2772.79:4272.01 +IOPATH A[0] O[13] 1574.98:2753.71:4242.6 1610.15:2815.21:4337.37 +IOPATH A[0] O[14] 1739.07:3040.62:4684.65 1774.7:3102.92:4780.63 +IOPATH A[0] O[15] 1730.27:3025.22:4660.92 1735.33:3034.08:4674.57 +IOPATH A[1] ACCUMCO 842.338:1472.76:2269.06 980.093:1713.61:2640.13 +IOPATH A[1] CO 1123.77:1964.82:3027.18 1248.54:2182.96:3363.27 +IOPATH A[1] O[1] 787.147:1376.26:2120.38 862.218:1507.51:2322.61 +IOPATH A[1] O[2] 973.76:1702.53:2623.07 1030.95:1802.53:2777.14 +IOPATH A[1] O[3] 1060.46:1854.13:2856.63 1117.4:1953.68:3010.02 +IOPATH A[1] O[4] 1144.01:2000.21:3081.7 1187.66:2076.53:3199.28 +IOPATH A[1] O[5] 1262.04:2206.56:3399.63 1323.93:2314.78:3566.36 +IOPATH A[1] O[6] 1304.87:2281.46:3515.02 1369.08:2393.71:3687.96 +IOPATH A[1] O[7] 1348.54:2357.81:3632.65 1400.04:2447.84:3771.36 +IOPATH A[1] O[8] 1543.88:2699.34:4158.84 1575.69:2754.96:4244.53 +IOPATH A[1] O[9] 1573.24:2750.67:4237.93 1615.39:2824.36:4351.46 +IOPATH A[1] O[10] 1632.58:2854.42:4397.77 1671.17:2921.9:4501.74 +IOPATH A[1] O[11] 1725.45:3016.8:4647.95 1760.48:3078.05:4742.32 +IOPATH A[1] O[12] 1666.05:2912.93:4487.92 1701.28:2974.53:4582.83 +IOPATH A[1] O[13] 1690.36:2955.45:4553.42 1725.54:3016.95:4648.19 +IOPATH A[1] O[14] 1854.46:3242.36:4995.46 1890.09:3304.66:5091.44 +IOPATH A[1] O[15] 1845.65:3226.96:4971.73 1850.72:3235.82:4985.39 +IOPATH A[2] ACCUMCO 809.798:1415.86:2181.4 915.404:1600.5:2465.88 +IOPATH A[2] CO 1091.23:1907.93:2939.52 1183.85:2069.86:3189.01 +IOPATH A[2] O[2] 966.44:1689.74:2603.36 1023.63:1789.73:2757.42 +IOPATH A[2] O[3] 1053.14:1841.33:2836.91 1110.09:1940.89:2990.3 +IOPATH A[2] O[4] 1136.78:1987.57:3062.22 1180.35:2063.73:3179.57 +IOPATH A[2] O[5] 1254.81:2193.92:3380.15 1316.7:2302.14:3546.88 +IOPATH A[2] O[6] 1297.64:2268.82:3495.54 1361.84:2381.07:3668.48 +IOPATH A[2] O[7] 1341.31:2345.17:3613.17 1392.8:2435.2:3751.88 +IOPATH A[2] O[8] 1548.11:2706.73:4170.23 1579.92:2762.35:4255.92 +IOPATH A[2] O[9] 1577.47:2758.07:4249.32 1619.61:2831.75:4362.85 +IOPATH A[2] O[10] 1636.81:2861.82:4409.17 1675.4:2929.29:4513.13 +IOPATH A[2] O[11] 1729.68:3024.19:4659.34 1764.71:3085.44:4753.71 +IOPATH A[2] O[12] 1670.27:2920.33:4499.31 1705.51:2981.93:4594.22 +IOPATH A[2] O[13] 1694.59:2962.84:4564.81 1729.77:3024.35:4659.58 +IOPATH A[2] O[14] 1858.69:3249.75:5006.85 1894.32:3312.05:5102.83 +IOPATH A[2] O[15] 1849.88:3234.35:4983.13 1854.95:3243.21:4996.78 +IOPATH A[3] ACCUMCO 777.105:1358.7:2093.33 890.717:1557.34:2399.38 +IOPATH A[3] CO 1058.54:1850.77:2851.46 1159.17:2026.7:3122.51 +IOPATH A[3] O[3] 947.607:1656.81:2552.62 991.84:1734.15:2671.78 +IOPATH A[3] O[4] 1148.3:2007.7:3093.24 1191.46:2083.16:3209.49 +IOPATH A[3] O[5] 1266.32:2214.05:3411.17 1328.22:2322.27:3577.9 +IOPATH A[3] O[6] 1309.16:2288.95:3526.56 1373.36:2401.2:3699.5 +IOPATH A[3] O[7] 1352.83:2365.3:3644.19 1404.32:2455.33:3782.9 +IOPATH A[3] O[8] 1574.97:2753.7:4242.6 1606.79:2809.32:4328.29 +IOPATH A[3] O[9] 1604.34:2805.04:4321.69 1646.48:2878.73:4435.22 +IOPATH A[3] O[10] 1663.67:2908.79:4481.54 1702.27:2976.27:4585.5 +IOPATH A[3] O[11] 1756.54:3071.16:4731.71 1791.58:3132.42:4826.08 +IOPATH A[3] O[12] 1697.14:2967.3:4571.69 1732.37:3028.9:4666.59 +IOPATH A[3] O[13] 1721.46:3009.81:4637.19 1756.63:3071.32:4731.95 +IOPATH A[3] O[14] 1885.55:3296.72:5079.22 1921.18:3359.02:5175.2 +IOPATH A[3] O[15] 1876.74:3281.32:5055.5 1881.81:3290.19:5069.15 +IOPATH A[4] ACCUMCO 788.202:1378.1:2123.23 985.703:1723.42:2655.25 +IOPATH A[4] CO 1069.64:1870.17:2881.35 1254.15:2192.77:3378.38 +IOPATH A[4] O[4] 1167.04:2040.46:3143.71 1210.19:2115.92:3259.97 +IOPATH A[4] O[5] 1285.06:2246.81:3461.64 1346.95:2355.03:3628.37 +IOPATH A[4] O[6] 1327.89:2321.71:3577.03 1392.1:2433.96:3749.97 +IOPATH A[4] O[7] 1371.56:2398.06:3694.66 1423.06:2488.09:3833.37 +IOPATH A[4] O[8] 1659.85:2902.11:4471.25 1691.67:2957.73:4556.95 +IOPATH A[4] O[9] 1689.22:2953.45:4550.34 1731.36:3027.14:4663.87 +IOPATH A[4] O[10] 1748.55:3057.2:4710.19 1787.15:3124.67:4814.15 +IOPATH A[4] O[11] 1841.43:3219.57:4960.36 1876.46:3280.82:5054.73 +IOPATH A[4] O[12] 1782.02:3115.71:4800.34 1817.25:3177.31:4895.24 +IOPATH A[4] O[13] 1806.34:3158.22:4865.83 1841.52:3219.73:4960.6 +IOPATH A[4] O[14] 1970.43:3445.13:5307.87 2006.07:3507.43:5403.86 +IOPATH A[4] O[15] 1961.63:3429.73:5284.15 1966.69:3438.59:5297.8 +IOPATH A[5] ACCUMCO 757.401:1324.25:2040.26 903.555:1579.79:2433.96 +IOPATH A[5] CO 1038.84:1816.32:2798.38 1172:2049.15:3157.09 +IOPATH A[5] O[5] 1235.88:2160.83:3329.17 1285.4:2247.4:3462.55 +IOPATH A[5] O[6] 1336.52:2336.8:3600.27 1405.02:2456.56:3784.79 +IOPATH A[5] O[7] 1454.48:2543.03:3918.01 1493.47:2611.2:4023.05 +IOPATH A[5] O[8] 1773.72:3101.19:4777.96 1805.53:3156.81:4863.66 +IOPATH A[5] O[9] 1803.08:3152.52:4857.06 1845.22:3226.21:4970.59 +IOPATH A[5] O[10] 1862.42:3256.27:5016.9 1901.01:3323.75:5120.86 +IOPATH A[5] O[11] 1955.29:3418.65:5267.07 1990.32:3479.9:5361.44 +IOPATH A[5] O[12] 1895.88:3314.79:5107.05 1931.11:3376.38:5201.96 +IOPATH A[5] O[13] 1920.2:3357.3:5172.55 1955.38:3418.8:5267.31 +IOPATH A[5] O[14] 2084.29:3644.21:5614.59 2119.93:3706.51:5710.57 +IOPATH A[5] O[15] 2075.49:3628.81:5590.86 2080.56:3637.67:5604.51 +IOPATH A[6] ACCUMCO 829.265:1449.9:2233.84 952.919:1666.1:2566.94 +IOPATH A[6] CO 1110.7:1941.96:2991.96 1221.37:2135.45:3290.07 +IOPATH A[6] O[6] 1253.08:2190.9:3375.49 1321.58:2310.66:3560.01 +IOPATH A[6] O[7] 1340.56:2343.86:3611.16 1379.56:2412.04:3716.2 +IOPATH A[6] O[8] 1659.8:2902.02:4471.11 1691.62:2957.64:4556.8 +IOPATH A[6] O[9] 1689.16:2953.36:4550.2 1731.31:3027.04:4663.73 +IOPATH A[6] O[10] 1748.5:3057.1:4710.04 1787.1:3124.58:4814.01 +IOPATH A[6] O[11] 1841.37:3219.48:4960.22 1876.41:3280.73:5054.59 +IOPATH A[6] O[12] 1781.97:3115.62:4800.19 1817.2:3177.22:4895.1 +IOPATH A[6] O[13] 1806.28:3158.13:4865.69 1841.46:3219.64:4960.46 +IOPATH A[6] O[14] 1970.38:3445.04:5307.73 2006.01:3507.34:5403.71 +IOPATH A[6] O[15] 1961.57:3429.64:5284.01 1966.64:3438.5:5297.66 +IOPATH A[7] ACCUMCO 791.512:1383.89:2132.14 912.494:1595.42:2458.04 +IOPATH A[7] CO 1072.95:1875.96:2890.27 1180.94:2064.77:3181.17 +IOPATH A[7] O[7] 1363.24:2383.51:3672.25 1402.24:2451.69:3777.29 +IOPATH A[7] O[8] 1682.48:2941.67:4532.2 1714.29:2997.29:4617.9 +IOPATH A[7] O[9] 1711.84:2993.01:4611.29 1753.99:3066.7:4724.82 +IOPATH A[7] O[10] 1771.18:3096.76:4771.14 1809.77:3164.23:4875.1 +IOPATH A[7] O[11] 1864.05:3259.13:5021.31 1899.09:3320.38:5115.68 +IOPATH A[7] O[12] 1804.65:3155.27:4861.29 1839.88:3216.87:4956.19 +IOPATH A[7] O[13] 1828.96:3197.78:4926.78 1864.14:3259.29:5021.55 +IOPATH A[7] O[14] 1993.06:3484.69:5368.82 2028.69:3546.99:5464.81 +IOPATH A[7] O[15] 1984.25:3469.29:5345.1 1989.32:3478.15:5358.75 +IOPATH A[8] ACCUMCO 772.671:1350.95:2081.39 900.977:1575.28:2427.01 +IOPATH A[8] CO 1054.11:1843.02:2839.51 1169.42:2044.64:3150.15 +IOPATH A[8] O[16] 816.475:1427.53:2199.39 889.607:1555.4:2396.39 +IOPATH A[8] O[17] 894.291:1563.59:2409 966.838:1690.43:2604.43 +IOPATH A[8] O[18] 948.816:1658.92:2555.88 1009.79:1765.53:2720.13 +IOPATH A[8] O[19] 1114.61:1948.8:3002.5 1174.82:2054.07:3164.68 +IOPATH A[8] O[20] 1157.14:2023.16:3117.05 1208.94:2113.72:3256.59 +IOPATH A[8] O[21] 1155.33:2020:3112.19 1208.76:2113.42:3256.11 +IOPATH A[8] O[22] 1189.21:2079.22:3203.44 1245.6:2177.82:3355.34 +IOPATH A[8] O[23] 1222.96:2138.24:3294.36 1277.52:2233.62:3441.32 +IOPATH A[8] O[24] 1398.36:2444.91:3766.85 1471.18:2572.22:3963 +IOPATH A[8] O[25] 1442.61:2522.28:3886.04 1500.18:2622.93:4041.12 +IOPATH A[8] O[26] 1500.46:2623.42:4041.87 1554.45:2717.82:4187.31 +IOPATH A[8] O[27] 1553.24:2715.7:4184.05 1605.63:2807.3:4325.18 +IOPATH A[8] O[28] 1522.56:2662.06:4101.4 1579.1:2760.92:4253.72 +IOPATH A[8] O[29] 1593.68:2786.41:4292.99 1648.88:2882.92:4441.69 +IOPATH A[8] O[30] 1640.35:2868.01:4418.72 1706.52:2983.71:4596.97 +IOPATH A[8] O[31] 1679.09:2935.74:4523.06 1740.8:3043.64:4689.3 +IOPATH A[9] ACCUMCO 830.296:1451.7:2236.62 957.035:1673.29:2578.02 +IOPATH A[9] CO 1111.73:1943.77:2994.74 1225.48:2142.65:3301.16 +IOPATH A[9] O[17] 844.477:1476.49:2274.82 927.081:1620.92:2497.33 +IOPATH A[9] O[18] 1039.75:1817.92:2800.84 1096.17:1916.55:2952.81 +IOPATH A[9] O[19] 1205.56:2107.81:3247.48 1265.76:2213.08:3409.66 +IOPATH A[9] O[20] 1251.07:2187.38:3370.07 1294.37:2263.08:3486.71 +IOPATH A[9] O[21] 1301.81:2276.1:3506.76 1355.24:2369.51:3650.68 +IOPATH A[9] O[22] 1335.68:2335.32:3598 1392.07:2433.91:3749.9 +IOPATH A[9] O[23] 1369.43:2394.33:3688.92 1423.99:2489.72:3835.88 +IOPATH A[9] O[24] 1547.51:2705.68:4168.61 1620.32:2832.99:4364.75 +IOPATH A[9] O[25] 1591.75:2783.04:4287.8 1649.32:2883.69:4442.87 +IOPATH A[9] O[26] 1649.6:2884.18:4443.63 1703.59:2978.58:4589.07 +IOPATH A[9] O[27] 1702.38:2976.47:4585.81 1754.77:3068.07:4726.93 +IOPATH A[9] O[28] 1671.7:2922.82:4503.15 1728.25:3021.69:4655.48 +IOPATH A[9] O[29] 1742.82:3047.17:4694.75 1798.02:3143.69:4843.44 +IOPATH A[9] O[30] 1789.5:3128.78:4820.47 1855.67:3244.47:4998.72 +IOPATH A[9] O[31] 1828.23:3196.5:4924.81 1889.94:3304.4:5091.05 +IOPATH A[10] ACCUMCO 775.035:1355.08:2087.76 850.37:1486.8:2290.69 +IOPATH A[10] CO 1056.47:1847.15:2845.88 1118.82:1956.16:3013.83 +IOPATH A[10] O[18] 969.844:1695.69:2612.53 1026.39:1794.55:2764.85 +IOPATH A[10] O[19] 1135.68:1985.64:3059.25 1195.89:2090.9:3221.43 +IOPATH A[10] O[20] 1182.91:2068.21:3186.47 1228.24:2147.47:3308.59 +IOPATH A[10] O[21] 1233.65:2156.93:3323.16 1287.08:2250.34:3467.08 +IOPATH A[10] O[22] 1267.52:2216.15:3414.39 1323.91:2314.74:3566.29 +IOPATH A[10] O[23] 1301.27:2275.16:3505.32 1355.83:2370.55:3652.28 +IOPATH A[10] O[24] 1491.55:2607.85:4017.89 1564.37:2735.16:4214.04 +IOPATH A[10] O[25] 1535.8:2685.21:4137.08 1593.37:2785.87:4292.15 +IOPATH A[10] O[26] 1593.65:2786.36:4292.91 1647.64:2880.76:4438.35 +IOPATH A[10] O[27] 1646.43:2878.64:4435.09 1698.82:2970.24:4576.21 +IOPATH A[10] O[28] 1615.75:2824.99:4352.44 1672.3:2923.86:4504.76 +IOPATH A[10] O[29] 1686.87:2949.35:4544.03 1742.07:3045.86:4692.72 +IOPATH A[10] O[30] 1733.55:3030.95:4669.75 1799.72:3146.65:4848 +IOPATH A[10] O[31] 1772.28:3098.68:4774.09 1833.99:3206.57:4940.33 +IOPATH A[11] ACCUMCO 704.52:1231.79:1897.81 783.813:1370.43:2111.4 +IOPATH A[11] CO 985.956:1723.86:2655.93 1052.26:1839.79:2834.54 +IOPATH A[11] O[19] 1029.74:1800.4:2773.86 1077.46:1883.85:2902.43 +IOPATH A[11] O[20] 1186.16:2073.9:3195.23 1225.64:2142.92:3301.58 +IOPATH A[11] O[21] 1236.91:2162.62:3331.92 1290.33:2256.03:3475.84 +IOPATH A[11] O[22] 1270.77:2221.84:3423.16 1327.16:2320.43:3575.06 +IOPATH A[11] O[23] 1304.53:2280.85:3514.08 1359.08:2376.24:3661.04 +IOPATH A[11] O[24] 1515.37:2649.49:4082.04 1588.19:2776.8:4278.19 +IOPATH A[11] O[25] 1559.62:2726.85:4201.23 1617.18:2827.51:4356.31 +IOPATH A[11] O[26] 1617.47:2828:4357.06 1671.46:2922.4:4502.5 +IOPATH A[11] O[27] 1670.25:2920.28:4499.24 1722.64:3011.88:4640.37 +IOPATH A[11] O[28] 1639.56:2866.63:4416.59 1696.11:2965.5:4568.92 +IOPATH A[11] O[29] 1710.69:2990.99:4608.18 1765.89:3087.5:4756.88 +IOPATH A[11] O[30] 1757.36:3072.59:4733.91 1823.53:3188.29:4912.16 +IOPATH A[11] O[31] 1796.1:3140.32:4838.25 1857.81:3248.21:5004.49 +IOPATH A[12] ACCUMCO 636.382:1112.66:1714.26 799.041:1397.05:2152.42 +IOPATH A[12] CO 917.819:1604.73:2472.38 1067.49:1866.41:2875.56 +IOPATH A[12] O[20] 1206.82:2110.02:3250.88 1246.3:2179.04:3357.23 +IOPATH A[12] O[21] 1257.56:2198.74:3387.57 1310.99:2292.15:3531.49 +IOPATH A[12] O[22] 1291.43:2257.96:3478.81 1347.82:2356.55:3630.71 +IOPATH A[12] O[23] 1325.19:2316.97:3569.73 1379.74:2412.36:3716.69 +IOPATH A[12] O[24] 1579.45:2761.53:4254.66 1652.27:2888.84:4450.81 +IOPATH A[12] O[25] 1623.7:2838.89:4373.85 1681.27:2939.54:4528.92 +IOPATH A[12] O[26] 1681.55:2940.04:4529.68 1735.54:3034.44:4675.12 +IOPATH A[12] O[27] 1734.33:3032.32:4671.86 1786.72:3123.92:4812.98 +IOPATH A[12] O[28] 1703.64:2978.67:4589.21 1760.19:3077.54:4741.53 +IOPATH A[12] O[29] 1774.77:3103.03:4780.8 1829.97:3199.54:4929.5 +IOPATH A[12] O[30] 1821.44:3184.63:4906.52 1887.61:3300.33:5084.78 +IOPATH A[12] O[31] 1860.18:3252.35:5010.87 1921.89:3360.25:5177.1 +IOPATH A[13] ACCUMCO 680.521:1189.83:1833.16 812.816:1421.14:2189.53 +IOPATH A[13] CO 961.957:1681.9:2591.28 1081.26:1890.5:2912.66 +IOPATH A[13] O[21] 1129.8:1975.35:3043.4 1172.29:2049.65:3157.87 +IOPATH A[13] O[22] 1223.77:2139.65:3296.54 1285.52:2247.61:3462.87 +IOPATH A[13] O[23] 1325.41:2317.36:3570.32 1366.94:2389.98:3682.22 +IOPATH A[13] O[24] 1610.32:2815.51:4337.83 1683.14:2942.82:4533.98 +IOPATH A[13] O[25] 1654.57:2892.87:4457.02 1712.14:2993.53:4612.09 +IOPATH A[13] O[26] 1712.42:2994.02:4612.85 1766.41:3088.42:4758.29 +IOPATH A[13] O[27] 1765.2:3086.3:4755.03 1817.59:3177.9:4896.15 +IOPATH A[13] O[28] 1734.52:3032.65:4672.38 1791.07:3131.52:4824.7 +IOPATH A[13] O[29] 1805.64:3157.01:4863.97 1860.84:3253.52:5012.66 +IOPATH A[13] O[30] 1852.32:3238.61:4989.69 1918.49:3354.31:5167.94 +IOPATH A[13] O[31] 1891.05:3306.34:5094.03 1952.76:3414.23:5260.27 +IOPATH A[14] ACCUMCO 682.587:1193.44:1838.73 793.555:1387.46:2137.65 +IOPATH A[14] CO 964.024:1685.51:2596.85 1062:1856.82:2860.78 +IOPATH A[14] O[22] 1254.66:2193.67:3379.76 1316.41:2301.63:3546.09 +IOPATH A[14] O[23] 1344.44:2350.64:3621.61 1385.98:2423.27:3733.5 +IOPATH A[14] O[24] 1629.36:2848.8:4389.11 1702.18:2976.11:4585.26 +IOPATH A[14] O[25] 1673.61:2926.16:4508.3 1731.18:3026.81:4663.38 +IOPATH A[14] O[26] 1731.46:3027.3:4664.13 1785.45:3121.7:4809.57 +IOPATH A[14] O[27] 1784.24:3119.59:4806.31 1836.63:3211.19:4947.44 +IOPATH A[14] O[28] 1753.56:3065.94:4723.66 1810.1:3164.81:4875.98 +IOPATH A[14] O[29] 1824.68:3190.29:4915.25 1879.88:3286.81:5063.95 +IOPATH A[14] O[30] 1871.35:3271.9:5040.98 1937.53:3387.59:5219.23 +IOPATH A[14] O[31] 1910.09:3339.62:5145.32 1971.8:3447.52:5311.56 +IOPATH A[15] ACCUMCO 744.159:1301.1:2004.59 885.328:1547.92:2384.86 +IOPATH A[15] CO 1025.6:1793.16:2762.71 1153.78:2017.28:3107.99 +IOPATH A[15] O[23] 1351.43:2362.86:3640.44 1392.97:2435.49:3752.33 +IOPATH A[15] O[24] 1636.35:2861.02:4407.94 1709.17:2988.33:4604.09 +IOPATH A[15] O[25] 1680.6:2938.38:4527.13 1738.17:3039.03:4682.21 +IOPATH A[15] O[26] 1738.45:3039.53:4682.96 1792.44:3133.93:4828.4 +IOPATH A[15] O[27] 1791.23:3131.81:4825.14 1843.62:3223.41:4966.27 +IOPATH A[15] O[28] 1760.55:3078.16:4742.49 1817.09:3177.03:4894.81 +IOPATH A[15] O[29] 1831.67:3202.52:4934.08 1886.87:3299.03:5082.78 +IOPATH A[15] O[30] 1878.34:3284.12:5059.81 1944.52:3399.82:5238.06 +IOPATH A[15] O[31] 1917.08:3351.84:5164.15 1978.79:3459.74:5330.39 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[0] O[0] 797.723:1394.75:2148.87 889.662:1555.5:2396.53 +IOPATH B[0] O[1] 868.031:1517.68:2338.27 949.483:1660.09:2557.68 +IOPATH B[0] O[2] 1041.08:1820.24:2804.43 1098.28:1920.24:2958.49 +IOPATH B[0] O[3] 1127.79:1971.85:3038.01 1184.74:2071.41:3191.4 +IOPATH B[0] O[4] 1245.98:2178.49:3356.38 1289.14:2253.95:3472.63 +IOPATH B[0] O[5] 1364.01:2384.84:3674.3 1425.9:2493.06:3841.04 +IOPATH B[0] O[6] 1406.84:2459.74:3789.69 1471.04:2571.99:3962.63 +IOPATH B[0] O[7] 1450.51:2536.09:3907.32 1502:2626.12:4046.03 +IOPATH B[0] O[8] 1748.28:3056.71:4709.44 1780.09:3112.33:4795.14 +IOPATH B[0] O[9] 1777.64:3108.05:4788.54 1819.79:3181.74:4902.07 +IOPATH B[0] O[10] 1836.98:3211.8:4948.38 1875.57:3279.28:5052.34 +IOPATH B[0] O[11] 1929.85:3374.17:5198.55 1964.88:3435.43:5292.92 +IOPATH B[0] O[12] 1870.45:3270.31:5038.53 1905.68:3331.91:5133.43 +IOPATH B[0] O[13] 1894.76:3312.82:5104.03 1929.94:3374.33:5198.79 +IOPATH B[0] O[14] 2058.86:3599.73:5546.07 2094.49:3662.03:5642.05 +IOPATH B[0] O[15] 2050.05:3584.33:5522.34 2055.12:3593.2:5535.99 +IOPATH B[1] O[0] 843.234:1474.32:2271.47 915.124:1600.01:2465.12 +IOPATH B[1] O[1] 913.577:1597.31:2460.96 987.599:1726.73:2660.35 +IOPATH B[1] O[2] 1172.17:2049.43:3157.54 1229.37:2149.44:3311.62 +IOPATH B[1] O[3] 1258.88:2201.04:3391.12 1315.82:2300.6:3544.51 +IOPATH B[1] O[4] 1388.15:2427.06:3739.34 1431.31:2502.51:3855.6 +IOPATH B[1] O[5] 1506.17:2633.41:4057.27 1568.07:2741.63:4224 +IOPATH B[1] O[6] 1549.01:2708.31:4172.66 1613.21:2820.56:4345.6 +IOPATH B[1] O[7] 1592.68:2784.66:4290.29 1644.17:2874.69:4429 +IOPATH B[1] O[8] 1866.11:3262.73:5026.86 1897.93:3318.36:5112.55 +IOPATH B[1] O[9] 1895.47:3314.07:5105.95 1937.62:3387.76:5219.48 +IOPATH B[1] O[10] 1954.81:3417.82:5265.79 1993.41:3485.3:5369.75 +IOPATH B[1] O[11] 2047.68:3580.19:5515.96 2082.72:3641.45:5610.33 +IOPATH B[1] O[12] 1988.28:3476.33:5355.95 2023.51:3537.93:5450.85 +IOPATH B[1] O[13] 2012.59:3518.84:5421.44 2047.77:3580.35:5516.21 +IOPATH B[1] O[14] 2176.69:3805.76:5863.48 2212.32:3868.05:5959.46 +IOPATH B[1] O[15] 2167.88:3790.35:5839.76 2172.95:3799.22:5853.41 +IOPATH B[2] O[2] 1069.93:1870.67:2882.12 1127.13:1970.68:3036.2 +IOPATH B[2] O[3] 1156.63:2022.27:3115.68 1213.57:2121.83:3269.07 +IOPATH B[2] O[4] 1289.14:2253.94:3472.62 1332.29:2329.4:3588.88 +IOPATH B[2] O[5] 1407.16:2460.3:3790.55 1469.06:2568.52:3957.28 +IOPATH B[2] O[6] 1450:2535.19:3905.94 1514.2:2647.44:4078.88 +IOPATH B[2] O[7] 1493.66:2611.54:4023.57 1545.16:2701.57:4162.28 +IOPATH B[2] O[8] 1812.68:3169.32:4882.93 1844.5:3224.94:4968.63 +IOPATH B[2] O[9] 1842.04:3220.65:4962.02 1884.19:3294.34:5075.55 +IOPATH B[2] O[10] 1901.38:3324.4:5121.87 1939.98:3391.88:5225.83 +IOPATH B[2] O[11] 1994.25:3486.78:5372.04 2029.29:3548.03:5466.41 +IOPATH B[2] O[12] 1934.85:3382.91:5212.02 1970.08:3444.51:5306.92 +IOPATH B[2] O[13] 1959.16:3425.43:5277.51 1994.34:3486.93:5372.28 +IOPATH B[2] O[14] 2123.26:3712.34:5719.55 2158.89:3774.63:5815.54 +IOPATH B[2] O[15] 2114.45:3696.94:5695.83 2119.52:3705.8:5709.48 +IOPATH B[3] O[2] 963.83:1685.17:2596.33 1021.03:1785.18:2750.41 +IOPATH B[3] O[3] 1125.6:1968.01:3032.08 1169.84:2045.36:3151.26 +IOPATH B[3] O[4] 1324.75:2316.21:3568.56 1367.91:2391.67:3684.81 +IOPATH B[3] O[5] 1442.77:2522.56:3886.49 1504.67:2630.78:4053.22 +IOPATH B[3] O[6] 1485.61:2597.46:4001.88 1549.81:2709.71:4174.82 +IOPATH B[3] O[7] 1529.28:2673.81:4119.51 1580.77:2763.84:4258.22 +IOPATH B[3] O[8] 1848.3:3231.58:4978.87 1880.11:3287.21:5064.56 +IOPATH B[3] O[9] 1877.66:3282.92:5057.96 1919.8:3356.61:5171.49 +IOPATH B[3] O[10] 1937:3386.67:5217.8 1975.59:3454.15:5321.76 +IOPATH B[3] O[11] 2029.87:3549.04:5467.97 2064.9:3610.3:5562.34 +IOPATH B[3] O[12] 1970.46:3445.18:5307.95 2005.69:3506.78:5402.86 +IOPATH B[3] O[13] 1994.78:3487.69:5373.45 2029.96:3549.2:5468.21 +IOPATH B[3] O[14] 2158.88:3774.61:5815.49 2194.51:3836.9:5911.47 +IOPATH B[3] O[15] 2150.07:3759.21:5791.76 2155.14:3768.07:5805.42 +IOPATH B[4] O[4] 1162.5:2032.54:3131.5 1205.66:2107.99:3247.76 +IOPATH B[4] O[5] 1280.53:2238.89:3449.43 1342.42:2347.11:3616.16 +IOPATH B[4] O[6] 1325.72:2317.91:3571.17 1394.22:2437.67:3755.69 +IOPATH B[4] O[7] 1422.87:2487.76:3832.87 1461.86:2555.94:3937.91 +IOPATH B[4] O[8] 1742.11:3045.93:4692.82 1773.92:3101.55:4778.52 +IOPATH B[4] O[9] 1771.47:3097.26:4771.91 1813.62:3170.95:4885.44 +IOPATH B[4] O[10] 1830.81:3201.01:4931.76 1869.4:3268.49:5035.72 +IOPATH B[4] O[11] 1923.68:3363.38:5181.93 1958.71:3424.64:5276.3 +IOPATH B[4] O[12] 1864.28:3259.52:5021.91 1899.51:3321.12:5116.81 +IOPATH B[4] O[13] 1888.59:3302.03:5087.4 1923.77:3363.54:5182.17 +IOPATH B[4] O[14] 2052.69:3588.95:5529.45 2088.32:3651.24:5625.43 +IOPATH B[4] O[15] 2043.88:3573.55:5505.72 2048.95:3582.41:5519.37 +IOPATH B[5] O[4] 1148.86:2008.68:3094.75 1192.02:2084.14:3211.01 +IOPATH B[5] O[5] 1266.88:2215.04:3412.68 1328.78:2323.26:3579.41 +IOPATH B[5] O[6] 1368.72:2393.09:3687 1437.22:2512.85:3871.52 +IOPATH B[5] O[7] 1465.47:2562.25:3947.63 1504.47:2630.43:4052.67 +IOPATH B[5] O[8] 1784.71:3120.41:4807.58 1816.52:3176.03:4893.28 +IOPATH B[5] O[9] 1814.07:3171.75:4886.67 1856.22:3245.43:5000.2 +IOPATH B[5] O[10] 1873.41:3275.49:5046.52 1912:3342.97:5150.48 +IOPATH B[5] O[11] 1966.28:3437.87:5296.69 2001.32:3499.12:5391.06 +IOPATH B[5] O[12] 1952.45:3413.7:5259.44 1987.69:3475.31:5354.36 +IOPATH B[5] O[13] 1976.77:3456.21:5324.94 2011.95:3517.72:5419.7 +IOPATH B[5] O[14] 2140.87:3743.12:5766.98 2176.5:3805.42:5862.96 +IOPATH B[5] O[15] 2132.06:3727.72:5743.25 2137.13:3736.58:5756.91 +IOPATH B[6] O[6] 1146.18:2004:3087.54 1214.68:2123.76:3272.05 +IOPATH B[6] O[7] 1205.42:2107.57:3247.1 1257.93:2199.39:3388.57 +IOPATH B[6] O[8] 1524.65:2665.72:4107.05 1556.47:2721.35:4192.75 +IOPATH B[6] O[9] 1554.02:2717.06:4186.14 1596.16:2790.75:4299.67 +IOPATH B[6] O[10] 1613.89:2821.75:4347.44 1656.12:2895.58:4461.18 +IOPATH B[6] O[11] 1706.76:2984.12:4597.6 1741.79:3045.38:4691.97 +IOPATH B[6] O[12] 1792.71:3134.4:4829.14 1827.95:3196.01:4924.06 +IOPATH B[6] O[13] 1817.03:3176.92:4894.64 1852.21:3238.42:4989.4 +IOPATH B[6] O[14] 1981.13:3463.83:5336.68 2016.76:3526.12:5432.66 +IOPATH B[6] O[15] 1972.32:3448.43:5312.95 1977.39:3457.29:5326.6 +IOPATH B[7] O[6] 1093.21:1911.38:2944.84 1161.7:2031.14:3129.35 +IOPATH B[7] O[7] 1152.47:2014.99:3104.47 1204.96:2106.76:3245.87 +IOPATH B[7] O[8] 1555.09:2718.95:4189.05 1574.37:2752.64:4240.96 +IOPATH B[7] O[9] 1636.68:2861.59:4408.81 1683.45:2943.37:4534.82 +IOPATH B[7] O[10] 1708.06:2986.4:4601.11 1750.29:3060.23:4714.86 +IOPATH B[7] O[11] 1800.93:3148.77:4851.28 1835.97:3210.02:4945.65 +IOPATH B[7] O[12] 1886.88:3299.05:5082.81 1922.12:3360.66:5177.73 +IOPATH B[7] O[13] 1911.2:3341.56:5148.31 1946.38:3403.07:5243.07 +IOPATH B[7] O[14] 2075.3:3628.48:5590.35 2110.93:3690.77:5686.33 +IOPATH B[7] O[15] 2066.49:3613.07:5566.62 2071.56:3621.94:5580.27 +IOPATH B[8] O[16] 799.014:1397.01:2152.35 872.19:1524.95:2349.47 +IOPATH B[8] O[17] 876.83:1533.06:2361.97 949.421:1659.98:2557.51 +IOPATH B[8] O[18] 1045.15:1827.36:2815.39 1101.7:1926.22:2967.71 +IOPATH B[8] O[19] 1211:2117.33:3262.14 1271.2:2222.59:3424.32 +IOPATH B[8] O[20] 1278.93:2236.1:3445.14 1318.41:2305.13:3551.48 +IOPATH B[8] O[21] 1329.68:2324.82:3581.83 1383.1:2418.24:3725.75 +IOPATH B[8] O[22] 1363.55:2384.04:3673.06 1419.93:2482.63:3824.96 +IOPATH B[8] O[23] 1403.08:2453.17:3779.57 1451.85:2538.44:3910.95 +IOPATH B[8] O[24] 1688:2951.32:4547.07 1760.82:3078.64:4743.22 +IOPATH B[8] O[25] 1732.25:3028.69:4666.26 1789.82:3129.34:4821.33 +IOPATH B[8] O[26] 1790.1:3129.83:4822.09 1844.09:3224.23:4967.53 +IOPATH B[8] O[27] 1842.88:3222.11:4964.27 1895.27:3313.71:5105.39 +IOPATH B[8] O[28] 1812.2:3168.47:4881.62 1868.74:3267.33:5033.94 +IOPATH B[8] O[29] 1883.32:3292.82:5073.21 1938.52:3389.33:5221.91 +IOPATH B[8] O[30] 1929.99:3374.42:5198.94 1996.16:3490.12:5377.19 +IOPATH B[8] O[31] 1968.73:3442.15:5303.28 2030.44:3550.05:5469.51 +IOPATH B[9] O[16] 890.459:1556.89:2398.68 944.762:1651.83:2544.96 +IOPATH B[9] O[17] 968.315:1693.01:2608.41 1037.55:1814.07:2794.92 +IOPATH B[9] O[18] 1206.83:2110.03:3250.9 1263.38:2208.91:3403.24 +IOPATH B[9] O[19] 1372.67:2400:3697.65 1432.88:2505.26:3859.83 +IOPATH B[9] O[20] 1466.16:2563.46:3949.49 1505.64:2632.48:4055.83 +IOPATH B[9] O[21] 1516.91:2652.18:4086.18 1570.33:2745.59:4230.1 +IOPATH B[9] O[22] 1550.78:2711.39:4177.41 1607.16:2809.99:4329.31 +IOPATH B[9] O[23] 1584.53:2770.41:4268.34 1639.08:2865.8:4415.3 +IOPATH B[9] O[24] 1838.73:3214.86:4953.11 1911.55:3342.18:5149.25 +IOPATH B[9] O[25] 1882.98:3292.23:5072.3 1940.55:3392.88:5227.37 +IOPATH B[9] O[26] 1940.83:3393.37:5228.12 1994.82:3487.77:5373.57 +IOPATH B[9] O[27] 1993.61:3485.65:5370.31 2046:3577.25:5511.43 +IOPATH B[9] O[28] 1962.93:3432.01:5287.65 2019.47:3530.88:5439.98 +IOPATH B[9] O[29] 2034.05:3556.36:5479.25 2089.25:3652.87:5627.94 +IOPATH B[9] O[30] 2080.72:3637.97:5604.97 2146.9:3753.66:5783.22 +IOPATH B[9] O[31] 2119.46:3705.69:5709.31 2181.17:3813.59:5875.55 +IOPATH B[10] O[18] 1070.93:1872.42:2884.82 1127.48:1971.3:3037.15 +IOPATH B[10] O[19] 1236.77:2162.38:3331.55 1296.97:2267.64:3493.73 +IOPATH B[10] O[20] 1355.65:2370.24:3651.8 1395.13:2439.26:3758.14 +IOPATH B[10] O[21] 1406.39:2458.96:3788.49 1459.82:2552.37:3932.41 +IOPATH B[10] O[22] 1440.26:2518.17:3879.72 1496.65:2616.77:4031.62 +IOPATH B[10] O[23] 1489.94:2605.03:4013.54 1531.48:2677.66:4125.43 +IOPATH B[10] O[24] 1774.86:3103.18:4781.04 1847.67:3230.49:4977.19 +IOPATH B[10] O[25] 1819.11:3180.55:4900.23 1876.67:3281.2:5055.3 +IOPATH B[10] O[26] 1876.95:3281.69:5056.06 1930.95:3376.09:5201.5 +IOPATH B[10] O[27] 1929.73:3373.97:5198.24 1982.12:3465.57:5339.36 +IOPATH B[10] O[28] 1899.05:3320.32:5115.59 1955.6:3419.19:5267.91 +IOPATH B[10] O[29] 1970.18:3444.68:5307.18 2025.38:3541.19:5455.88 +IOPATH B[10] O[30] 2016.85:3526.28:5432.9 2083.02:3641.98:5611.16 +IOPATH B[10] O[31] 2055.58:3594.01:5537.25 2117.3:3701.91:5703.48 +IOPATH B[11] O[18] 981.118:1715.4:2642.89 1037.67:1814.28:2795.23 +IOPATH B[11] O[19] 1263.57:2209.24:3403.76 1311.3:2292.69:3532.32 +IOPATH B[11] O[20] 1419.13:2481.22:3822.79 1458.61:2550.24:3929.13 +IOPATH B[11] O[21] 1469.87:2569.94:3959.48 1523.3:2663.35:4103.4 +IOPATH B[11] O[22] 1503.74:2629.16:4050.71 1560.13:2727.75:4202.61 +IOPATH B[11] O[23] 1553.41:2716.01:4184.52 1594.95:2788.64:4296.42 +IOPATH B[11] O[24] 1838.33:3214.16:4952.03 1911.15:3341.48:5148.17 +IOPATH B[11] O[25] 1882.58:3291.53:5071.22 1940.15:3392.18:5226.29 +IOPATH B[11] O[26] 1940.43:3392.67:5227.05 1994.42:3487.07:5372.49 +IOPATH B[11] O[27] 1993.21:3484.95:5369.23 2045.6:3576.55:5510.35 +IOPATH B[11] O[28] 1962.53:3431.31:5286.57 2019.07:3530.18:5438.9 +IOPATH B[11] O[29] 2033.65:3555.66:5478.17 2088.85:3652.17:5626.86 +IOPATH B[11] O[30] 2080.32:3637.27:5603.89 2146.5:3752.96:5782.14 +IOPATH B[11] O[31] 2119.06:3704.99:5708.24 2180.77:3812.89:5874.47 +IOPATH B[12] O[20] 1233.92:2157.41:3323.89 1273.4:2226.43:3430.23 +IOPATH B[12] O[21] 1284.67:2246.13:3460.58 1338.09:2339.54:3604.5 +IOPATH B[12] O[22] 1344.43:2350.61:3621.56 1406.18:2458.57:3787.9 +IOPATH B[12] O[23] 1443.55:2523.92:3888.58 1485.09:2596.55:4000.47 +IOPATH B[12] O[24] 1728.47:3022.08:4656.08 1801.28:3149.39:4852.23 +IOPATH B[12] O[25] 1772.72:3099.44:4775.27 1830.28:3200.09:4930.34 +IOPATH B[12] O[26] 1830.56:3200.58:4931.1 1884.56:3294.98:5076.54 +IOPATH B[12] O[27] 1883.35:3292.86:5073.28 1935.74:3384.46:5214.4 +IOPATH B[12] O[28] 1852.66:3239.22:4990.63 1909.21:3338.09:5142.95 +IOPATH B[12] O[29] 1923.79:3363.57:5182.22 1978.99:3460.09:5330.92 +IOPATH B[12] O[30] 1970.46:3445.18:5307.94 2036.63:3560.87:5486.2 +IOPATH B[12] O[31] 2009.19:3512.9:5412.29 2070.91:3620.8:5578.52 +IOPATH B[13] O[20] 1204.3:2105.61:3244.08 1243.77:2174.63:3350.42 +IOPATH B[13] O[21] 1255.04:2194.33:3380.77 1308.47:2287.74:3524.69 +IOPATH B[13] O[22] 1340.11:2343.06:3609.92 1401.85:2451.02:3776.25 +IOPATH B[13] O[23] 1438.87:2515.74:3875.97 1480.41:2588.36:3987.86 +IOPATH B[13] O[24] 1723.79:3013.89:4643.47 1796.6:3141.2:4839.62 +IOPATH B[13] O[25] 1768.04:3091.25:4762.66 1825.6:3191.9:4917.73 +IOPATH B[13] O[26] 1825.88:3192.4:4918.49 1879.87:3286.8:5063.93 +IOPATH B[13] O[27] 1878.66:3284.68:5060.67 1931.05:3376.28:5201.79 +IOPATH B[13] O[28] 1867.08:3264.43:5029.47 1923.63:3363.3:5181.8 +IOPATH B[13] O[29] 1938.2:3388.78:5221.06 1993.41:3485.3:5369.75 +IOPATH B[13] O[30] 1984.88:3470.38:5346.78 2051.05:3586.08:5525.03 +IOPATH B[13] O[31] 2023.61:3538.11:5451.12 2085.32:3646.01:5617.36 +IOPATH B[14] O[22] 1082.59:1892.82:2916.25 1144.34:2000.77:3082.57 +IOPATH B[14] O[23] 1154.87:2019.19:3110.95 1196.42:2091.83:3222.86 +IOPATH B[14] O[24] 1439.79:2517.35:3878.45 1512.61:2644.66:4074.6 +IOPATH B[14] O[25] 1484.04:2594.71:3997.64 1541.61:2695.36:4152.72 +IOPATH B[14] O[26] 1541.89:2695.86:4153.47 1595.88:2790.26:4298.92 +IOPATH B[14] O[27] 1594.67:2788.14:4295.65 1647.06:2879.74:4436.78 +IOPATH B[14] O[28] 1700.74:2973.6:4581.38 1757.29:3072.47:4733.72 +IOPATH B[14] O[29] 1771.87:3097.95:4772.98 1827.07:3194.46:4921.67 +IOPATH B[14] O[30] 1818.54:3179.55:4898.7 1884.71:3295.25:5076.95 +IOPATH B[14] O[31] 1857.27:3247.28:5003.04 1918.98:3355.18:5169.28 +IOPATH B[15] O[22] 1234.08:2157.68:3324.31 1295.82:2265.63:3490.64 +IOPATH B[15] O[23] 1306.38:2284.1:3519.08 1347.93:2356.73:3630.99 +IOPATH B[15] O[24] 1644.82:2875.82:4430.74 1704.77:2980.64:4592.24 +IOPATH B[15] O[25] 1741.43:3044.75:4691 1804.65:3155.27:4861.28 +IOPATH B[15] O[26] 1811.26:3166.83:4879.1 1869.47:3268.61:5035.91 +IOPATH B[15] O[27] 1864.04:3259.11:5021.28 1916.43:3350.71:5162.4 +IOPATH B[15] O[28] 1989.98:3479.31:5360.53 2046.54:3578.19:5512.87 +IOPATH B[15] O[29] 2061.11:3603.66:5552.12 2116.31:3700.18:5700.82 +IOPATH B[15] O[30] 2107.78:3685.27:5677.85 2173.95:3800.96:5856.1 +IOPATH B[15] O[31] 2146.52:3752.99:5782.19 2208.23:3860.89:5948.43 CELL SB_MAC16_MUL_S_16X16_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 -HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 -HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 -HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5242:158.274:243.85 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0974:155.779:240.007 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.8773:148.401:228.639 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5024:128.513:197.998 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6549:167.244:257.671 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.789:115.026:177.22 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1033:155.789:240.023 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.515:147.767:227.663 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -9080,1173 +9080,1173 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 -SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 -SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 -SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 -SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 -SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 -SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 -SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 -SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 -SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 -SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 -SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9 -IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02 -IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 -IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27 -IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72 -IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 -IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 -IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27 -IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 -IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75 -IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14 -IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 -IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 -IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 -IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98 -IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21 -IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 -IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 -IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 -IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 -IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 -IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 -IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 -IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15 -IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02 -IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 -IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11 -IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 -IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 -IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62 -IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08 -IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91 +SETUP negedge:A[0] posedge:CLK -38.0891:-66.5954:-102.603 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0671:-19.3499:-29.8121 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4294:-42.7126:-65.8068 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.864:122.151:188.197 +SETUP negedge:C[15] posedge:CLK 67.4627:117.953:181.728 +SETUP negedge:CHOLD posedge:CLK 96.6446:168.975:260.337 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.35:126.498:194.893 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0887:94.5693:145.702 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.156:206.585:318.283 +SETUP negedge:OHOLDBOT posedge:CLK 153.917:269.111:414.616 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7831:-22.3501:-34.4345 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8087:102.822:158.417 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.3485:114.256:176.033 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.4215:121.377:187.005 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0112:113.666:175.125 +SETUP posedge:D[13] posedge:CLK 51.5379:90.1094:138.831 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.271:219.026:337.451 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.819:386.083:594.834 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.35:2282.3:3516.31 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.8:2751.65:4239.44 +IOPATH posedge:CLK O[0] 505.128:883.173:1360.69 565.925:989.471:1524.47 +IOPATH posedge:CLK O[1] 512.03:895.24:1379.29 555.639:971.486:1496.76 +IOPATH posedge:CLK O[2] 520.251:909.614:1401.43 570.968:998.288:1538.05 +IOPATH posedge:CLK O[3] 513.272:897.411:1382.63 545.132:953.116:1468.45 +IOPATH posedge:CLK O[4] 549.329:960.453:1479.76 586.181:1024.89:1579.03 +IOPATH posedge:CLK O[5] 500.634:875.315:1348.59 544.336:951.725:1466.31 +IOPATH posedge:CLK O[6] 490.881:858.263:1322.32 522.785:914.044:1408.26 +IOPATH posedge:CLK O[7] 478.072:835.867:1287.81 515.854:901.926:1389.59 +IOPATH posedge:CLK O[8] 674.898:1180:1818.01 670.032:1171.49:1804.9 +IOPATH posedge:CLK O[9] 653.434:1142.47:1760.19 615.644:1076.4:1658.4 +IOPATH posedge:CLK O[10] 578.183:1010.9:1557.49 568.193:993.436:1530.58 +IOPATH posedge:CLK O[11] 645.355:1128.35:1738.43 630.201:1101.85:1697.61 +IOPATH posedge:CLK O[12] 661.418:1156.43:1781.7 630.802:1102.9:1699.23 +IOPATH posedge:CLK O[13] 672.12:1175.14:1810.53 619.499:1083.14:1668.78 +IOPATH posedge:CLK O[14] 732.083:1279.98:1972.05 700.739:1225.18:1887.62 +IOPATH posedge:CLK O[15] 588.41:1028.78:1585.03 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[16] 745.682:1303.76:2008.69 712.739:1246.16:1919.95 +IOPATH posedge:CLK O[17] 610.665:1067.69:1644.98 629.208:1100.12:1694.94 +IOPATH posedge:CLK O[18] 574.777:1004.95:1548.31 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[19] 650.901:1138.04:1753.37 653.165:1142:1759.47 +IOPATH posedge:CLK O[20] 638.807:1116.9:1720.79 628.52:1098.91:1693.08 +IOPATH posedge:CLK O[21] 576.036:1007.15:1551.7 564.5:986.979:1520.63 +IOPATH posedge:CLK O[22] 548.074:958.26:1476.38 550.461:962.434:1482.81 +IOPATH posedge:CLK O[23] 550.909:963.216:1484.02 548.117:958.334:1476.49 +IOPATH posedge:CLK O[24] 578.097:1010.75:1557.25 569.923:996.461:1535.24 +IOPATH posedge:CLK O[25] 561.464:981.67:1512.45 561.993:982.595:1513.87 +IOPATH posedge:CLK O[26] 566.9:991.175:1527.09 564.885:987.652:1521.66 +IOPATH posedge:CLK O[27] 577.239:1009.25:1554.94 574.82:1005.02:1548.43 +IOPATH posedge:CLK O[28] 576.773:1008.44:1553.69 576.576:1008.09:1553.16 +IOPATH posedge:CLK O[29] 585.301:1023.35:1576.66 590.921:1033.17:1591.8 +IOPATH posedge:CLK O[30] 604.911:1057.63:1629.48 608.997:1064.78:1640.49 +IOPATH posedge:CLK O[31] 618.595:1081.56:1666.35 634.3:1109.02:1708.65 +IOPATH posedge:CLK SIGNEXTOUT 720.597:1259.9:1941.12 704.033:1230.94:1896.5 CELL SB_MAC16_MUL_S_16X16_BYPASS -IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 -IOPATH A[0] O[0] 1549.1:1549.1:1549.1 1656.78:1656.78:1656.78 -IOPATH A[0] O[1] 1688.97:1688.97:1688.97 1784.31:1784.31:1784.31 -IOPATH A[0] O[2] 1894.83:1894.83:1894.83 1961.82:1961.82:1961.82 -IOPATH A[0] O[3] 2074.85:2074.85:2074.85 2136.79:2136.79:2136.79 -IOPATH A[0] O[4] 2231.67:2231.67:2231.67 2292.55:2292.55:2292.55 -IOPATH A[0] O[5] 2375.06:2375.06:2375.06 2441.76:2441.76:2441.76 -IOPATH A[0] O[6] 2443.34:2443.34:2443.34 2524.3:2524.3:2524.3 -IOPATH A[0] O[7] 2539.75:2539.75:2539.75 2595.87:2595.87:2595.87 -IOPATH A[0] O[8] 3731.6:3731.6:3731.6 3719.03:3719.03:3719.03 -IOPATH A[0] O[9] 3908.9:3908.9:3908.9 3821.4:3821.4:3821.4 -IOPATH A[0] O[10] 4162.63:4162.63:4162.63 4111.58:4111.58:4111.58 -IOPATH A[0] O[11] 4361:4361:4361 4338.01:4338.01:4338.01 -IOPATH A[0] O[12] 4654.99:4654.99:4654.99 4612.05:4612.05:4612.05 -IOPATH A[0] O[13] 4811.52:4811.52:4811.52 4697.13:4697.13:4697.13 -IOPATH A[0] O[14] 5050.74:5050.74:5050.74 4980.08:4980.08:4980.08 -IOPATH A[0] O[15] 4914.99:4914.99:4914.99 4926.27:4926.27:4926.27 -IOPATH A[0] O[16] 5259.21:5259.21:5259.21 5183.65:5183.65:5183.65 -IOPATH A[0] O[17] 5207.72:5207.72:5207.72 5236.81:5236.81:5236.81 -IOPATH A[0] O[18] 5220.94:5220.94:5220.94 5246.32:5246.32:5246.32 -IOPATH A[0] O[19] 5476.51:5476.51:5476.51 5481.77:5481.77:5481.77 -IOPATH A[0] O[20] 5351.23:5351.23:5351.23 5328.81:5328.81:5328.81 -IOPATH A[0] O[21] 5331.57:5331.57:5331.57 5308.41:5308.41:5308.41 -IOPATH A[0] O[22] 5390.88:5390.88:5390.88 5396.93:5396.93:5396.93 -IOPATH A[0] O[23] 5471.68:5471.68:5471.68 5466.5:5466.5:5466.5 -IOPATH A[0] O[24] 5368.09:5368.09:5368.09 5401.9:5401.9:5401.9 -IOPATH A[0] O[25] 5449.6:5449.6:5449.6 5502.33:5502.33:5502.33 -IOPATH A[0] O[26] 5534.12:5534.12:5534.12 5583.33:5583.33:5583.33 -IOPATH A[0] O[27] 5627.71:5627.71:5627.71 5673.84:5673.84:5673.84 -IOPATH A[0] O[28] 5572.98:5572.98:5572.98 5592.75:5592.75:5592.75 -IOPATH A[0] O[29] 5716.84:5716.84:5716.84 5735.24:5735.24:5735.24 -IOPATH A[0] O[30] 5874.75:5874.75:5874.75 5869.94:5869.94:5869.94 -IOPATH A[0] O[31] 6007.98:6007.98:6007.98 5976.11:5976.11:5976.11 -IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 -IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 -IOPATH A[1] O[1] 1657.24:1657.24:1657.24 1754.73:1754.73:1754.73 -IOPATH A[1] O[2] 2019.91:2019.91:2019.91 2086.89:2086.89:2086.89 -IOPATH A[1] O[3] 2199.93:2199.93:2199.93 2261.88:2261.88:2261.88 -IOPATH A[1] O[4] 2371.7:2371.7:2371.7 2408.82:2408.82:2408.82 -IOPATH A[1] O[5] 2633.2:2633.2:2633.2 2699.88:2699.88:2699.88 -IOPATH A[1] O[6] 2701.46:2701.46:2701.46 2782.42:2782.42:2782.42 -IOPATH A[1] O[7] 2797.87:2797.87:2797.87 2853.99:2853.99:2853.99 -IOPATH A[1] O[8] 3966.27:3966.27:3966.27 3953.71:3953.71:3953.71 -IOPATH A[1] O[9] 4143.58:4143.58:4143.58 4056.07:4056.07:4056.07 -IOPATH A[1] O[10] 4397.3:4397.3:4397.3 4346.26:4346.26:4346.26 -IOPATH A[1] O[11] 4595.67:4595.67:4595.67 4572.69:4572.69:4572.69 -IOPATH A[1] O[12] 4889.66:4889.66:4889.66 4846.73:4846.73:4846.73 -IOPATH A[1] O[13] 5046.19:5046.19:5046.19 4931.81:4931.81:4931.81 -IOPATH A[1] O[14] 5285.42:5285.42:5285.42 5214.76:5214.76:5214.76 -IOPATH A[1] O[15] 5149.67:5149.67:5149.67 5160.94:5160.94:5160.94 -IOPATH A[1] O[16] 5428.81:5428.81:5428.81 5353.25:5353.25:5353.25 -IOPATH A[1] O[17] 5377.32:5377.32:5377.32 5406.41:5406.41:5406.41 -IOPATH A[1] O[18] 5390.55:5390.55:5390.55 5415.92:5415.92:5415.92 -IOPATH A[1] O[19] 5646.11:5646.11:5646.11 5651.36:5651.36:5651.36 -IOPATH A[1] O[20] 5520.83:5520.83:5520.83 5498.41:5498.41:5498.41 -IOPATH A[1] O[21] 5501.17:5501.17:5501.17 5478.01:5478.01:5478.01 -IOPATH A[1] O[22] 5560.48:5560.48:5560.48 5566.53:5566.53:5566.53 -IOPATH A[1] O[23] 5641.28:5641.28:5641.28 5636.1:5636.1:5636.1 -IOPATH A[1] O[24] 5537.69:5537.69:5537.69 5571.5:5571.5:5571.5 -IOPATH A[1] O[25] 5619.2:5619.2:5619.2 5671.93:5671.93:5671.93 -IOPATH A[1] O[26] 5703.72:5703.72:5703.72 5752.93:5752.93:5752.93 -IOPATH A[1] O[27] 5797.31:5797.31:5797.31 5843.44:5843.44:5843.44 -IOPATH A[1] O[28] 5742.58:5742.58:5742.58 5762.35:5762.35:5762.35 -IOPATH A[1] O[29] 5886.44:5886.44:5886.44 5904.84:5904.84:5904.84 -IOPATH A[1] O[30] 6044.36:6044.36:6044.36 6039.54:6039.54:6039.54 -IOPATH A[1] O[31] 6177.58:6177.58:6177.58 6145.71:6145.71:6145.71 -IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 -IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 -IOPATH A[2] O[2] 2002.4:2002.4:2002.4 2069.37:2069.37:2069.37 -IOPATH A[2] O[3] 2182.41:2182.41:2182.41 2244.36:2244.36:2244.36 -IOPATH A[2] O[4] 2354.42:2354.42:2354.42 2391.3:2391.3:2391.3 -IOPATH A[2] O[5] 2615.92:2615.92:2615.92 2682.6:2682.6:2682.6 -IOPATH A[2] O[6] 2684.18:2684.18:2684.18 2765.14:2765.14:2765.14 -IOPATH A[2] O[7] 2780.59:2780.59:2780.59 2836.71:2836.71:2836.71 -IOPATH A[2] O[8] 3972.09:3972.09:3972.09 3959.53:3959.53:3959.53 -IOPATH A[2] O[9] 4149.4:4149.4:4149.4 4061.89:4061.89:4061.89 -IOPATH A[2] O[10] 4403.12:4403.12:4403.12 4352.08:4352.08:4352.08 -IOPATH A[2] O[11] 4601.5:4601.5:4601.5 4578.51:4578.51:4578.51 -IOPATH A[2] O[12] 4895.48:4895.48:4895.48 4852.55:4852.55:4852.55 -IOPATH A[2] O[13] 5052.01:5052.01:5052.01 4937.63:4937.63:4937.63 -IOPATH A[2] O[14] 5291.24:5291.24:5291.24 5220.58:5220.58:5220.58 -IOPATH A[2] O[15] 5155.49:5155.49:5155.49 5166.76:5166.76:5166.76 -IOPATH A[2] O[16] 5434.63:5434.63:5434.63 5359.07:5359.07:5359.07 -IOPATH A[2] O[17] 5383.14:5383.14:5383.14 5412.24:5412.24:5412.24 -IOPATH A[2] O[18] 5396.37:5396.37:5396.37 5421.75:5421.75:5421.75 -IOPATH A[2] O[19] 5651.93:5651.93:5651.93 5657.19:5657.19:5657.19 -IOPATH A[2] O[20] 5526.65:5526.65:5526.65 5504.23:5504.23:5504.23 -IOPATH A[2] O[21] 5506.99:5506.99:5506.99 5483.83:5483.83:5483.83 -IOPATH A[2] O[22] 5566.3:5566.3:5566.3 5572.35:5572.35:5572.35 -IOPATH A[2] O[23] 5647.1:5647.1:5647.1 5641.92:5641.92:5641.92 -IOPATH A[2] O[24] 5543.51:5543.51:5543.51 5577.32:5577.32:5577.32 -IOPATH A[2] O[25] 5625.02:5625.02:5625.02 5677.75:5677.75:5677.75 -IOPATH A[2] O[26] 5709.54:5709.54:5709.54 5758.76:5758.76:5758.76 -IOPATH A[2] O[27] 5803.13:5803.13:5803.13 5849.26:5849.26:5849.26 -IOPATH A[2] O[28] 5748.4:5748.4:5748.4 5768.17:5768.17:5768.17 -IOPATH A[2] O[29] 5892.26:5892.26:5892.26 5910.66:5910.66:5910.66 -IOPATH A[2] O[30] 6050.18:6050.18:6050.18 6045.36:6045.36:6045.36 -IOPATH A[2] O[31] 6183.4:6183.4:6183.4 6151.53:6151.53:6151.53 -IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 -IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 -IOPATH A[3] O[3] 1970.38:1970.38:1970.38 2006.5:2006.5:2006.5 -IOPATH A[3] O[4] 2381.31:2381.31:2381.31 2416.53:2416.53:2416.53 -IOPATH A[3] O[5] 2642.81:2642.81:2642.81 2709.49:2709.49:2709.49 -IOPATH A[3] O[6] 2711.07:2711.07:2711.07 2792.03:2792.03:2792.03 -IOPATH A[3] O[7] 2807.48:2807.48:2807.48 2863.6:2863.6:2863.6 -IOPATH A[3] O[8] 4029.52:4029.52:4029.52 4016.95:4016.95:4016.95 -IOPATH A[3] O[9] 4206.82:4206.82:4206.82 4119.32:4119.32:4119.32 -IOPATH A[3] O[10] 4460.54:4460.54:4460.54 4409.5:4409.5:4409.5 -IOPATH A[3] O[11] 4658.92:4658.92:4658.92 4635.93:4635.93:4635.93 -IOPATH A[3] O[12] 4952.91:4952.91:4952.91 4909.97:4909.97:4909.97 -IOPATH A[3] O[13] 5109.44:5109.44:5109.44 4995.05:4995.05:4995.05 -IOPATH A[3] O[14] 5348.66:5348.66:5348.66 5278:5278:5278 -IOPATH A[3] O[15] 5212.91:5212.91:5212.91 5224.18:5224.18:5224.18 -IOPATH A[3] O[16] 5492.05:5492.05:5492.05 5416.49:5416.49:5416.49 -IOPATH A[3] O[17] 5440.56:5440.56:5440.56 5469.66:5469.66:5469.66 -IOPATH A[3] O[18] 5453.79:5453.79:5453.79 5479.17:5479.17:5479.17 -IOPATH A[3] O[19] 5709.35:5709.35:5709.35 5714.61:5714.61:5714.61 -IOPATH A[3] O[20] 5584.07:5584.07:5584.07 5561.65:5561.65:5561.65 -IOPATH A[3] O[21] 5564.41:5564.41:5564.41 5541.25:5541.25:5541.25 -IOPATH A[3] O[22] 5623.73:5623.73:5623.73 5629.77:5629.77:5629.77 -IOPATH A[3] O[23] 5704.53:5704.53:5704.53 5699.34:5699.34:5699.34 -IOPATH A[3] O[24] 5600.94:5600.94:5600.94 5634.74:5634.74:5634.74 -IOPATH A[3] O[25] 5682.45:5682.45:5682.45 5735.18:5735.18:5735.18 -IOPATH A[3] O[26] 5766.96:5766.96:5766.96 5816.18:5816.18:5816.18 -IOPATH A[3] O[27] 5860.55:5860.55:5860.55 5906.68:5906.68:5906.68 -IOPATH A[3] O[28] 5805.82:5805.82:5805.82 5825.59:5825.59:5825.59 -IOPATH A[3] O[29] 5949.69:5949.69:5949.69 5968.08:5968.08:5968.08 -IOPATH A[3] O[30] 6107.6:6107.6:6107.6 6102.78:6102.78:6102.78 -IOPATH A[3] O[31] 6240.82:6240.82:6240.82 6208.95:6208.95:6208.95 -IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 -IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 -IOPATH A[4] O[4] 2416.7:2416.7:2416.7 2451.92:2451.92:2451.92 -IOPATH A[4] O[5] 2678.2:2678.2:2678.2 2744.88:2744.88:2744.88 -IOPATH A[4] O[6] 2746.46:2746.46:2746.46 2827.42:2827.42:2827.42 -IOPATH A[4] O[7] 2842.87:2842.87:2842.87 2898.99:2898.99:2898.99 -IOPATH A[4] O[8] 4199.31:4199.31:4199.31 4186.74:4186.74:4186.74 -IOPATH A[4] O[9] 4376.62:4376.62:4376.62 4289.11:4289.11:4289.11 -IOPATH A[4] O[10] 4630.34:4630.34:4630.34 4579.29:4579.29:4579.29 -IOPATH A[4] O[11] 4828.71:4828.71:4828.71 4805.72:4805.72:4805.72 -IOPATH A[4] O[12] 5122.7:5122.7:5122.7 5079.76:5079.76:5079.76 -IOPATH A[4] O[13] 5279.23:5279.23:5279.23 5164.84:5164.84:5164.84 -IOPATH A[4] O[14] 5518.45:5518.45:5518.45 5447.79:5447.79:5447.79 -IOPATH A[4] O[15] 5382.7:5382.7:5382.7 5393.98:5393.98:5393.98 -IOPATH A[4] O[16] 5661.84:5661.84:5661.84 5586.28:5586.28:5586.28 -IOPATH A[4] O[17] 5610.35:5610.35:5610.35 5639.45:5639.45:5639.45 -IOPATH A[4] O[18] 5623.58:5623.58:5623.58 5648.96:5648.96:5648.96 -IOPATH A[4] O[19] 5879.15:5879.15:5879.15 5884.4:5884.4:5884.4 -IOPATH A[4] O[20] 5753.86:5753.86:5753.86 5731.44:5731.44:5731.44 -IOPATH A[4] O[21] 5734.2:5734.2:5734.2 5711.05:5711.05:5711.05 -IOPATH A[4] O[22] 5793.52:5793.52:5793.52 5799.56:5799.56:5799.56 -IOPATH A[4] O[23] 5874.32:5874.32:5874.32 5869.13:5869.13:5869.13 -IOPATH A[4] O[24] 5770.73:5770.73:5770.73 5804.54:5804.54:5804.54 -IOPATH A[4] O[25] 5852.24:5852.24:5852.24 5904.97:5904.97:5904.97 -IOPATH A[4] O[26] 5936.75:5936.75:5936.75 5985.97:5985.97:5985.97 -IOPATH A[4] O[27] 6030.34:6030.34:6030.34 6076.47:6076.47:6076.47 -IOPATH A[4] O[28] 5975.62:5975.62:5975.62 5995.39:5995.39:5995.39 -IOPATH A[4] O[29] 6119.48:6119.48:6119.48 6137.88:6137.88:6137.88 -IOPATH A[4] O[30] 6277.39:6277.39:6277.39 6272.57:6272.57:6272.57 -IOPATH A[4] O[31] 6410.62:6410.62:6410.62 6378.75:6378.75:6378.75 -IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 -IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 -IOPATH A[5] O[5] 2580.88:2580.88:2580.88 2622.4:2622.4:2622.4 -IOPATH A[5] O[6] 2766.69:2766.69:2766.69 2856.4:2856.4:2856.4 -IOPATH A[5] O[7] 3014.08:3014.08:3014.08 3044.8:3044.8:3044.8 -IOPATH A[5] O[8] 4433.6:4433.6:4433.6 4421.03:4421.03:4421.03 -IOPATH A[5] O[9] 4610.91:4610.91:4610.91 4523.4:4523.4:4523.4 -IOPATH A[5] O[10] 4864.63:4864.63:4864.63 4813.59:4813.59:4813.59 -IOPATH A[5] O[11] 5063:5063:5063 5040.01:5040.01:5040.01 -IOPATH A[5] O[12] 5356.99:5356.99:5356.99 5314.06:5314.06:5314.06 -IOPATH A[5] O[13] 5513.52:5513.52:5513.52 5399.14:5399.14:5399.14 -IOPATH A[5] O[14] 5752.74:5752.74:5752.74 5682.08:5682.08:5682.08 -IOPATH A[5] O[15] 5617:5617:5617 5628.27:5628.27:5628.27 -IOPATH A[5] O[16] 5896.14:5896.14:5896.14 5820.58:5820.58:5820.58 -IOPATH A[5] O[17] 5844.64:5844.64:5844.64 5873.74:5873.74:5873.74 -IOPATH A[5] O[18] 5857.87:5857.87:5857.87 5883.25:5883.25:5883.25 -IOPATH A[5] O[19] 6113.44:6113.44:6113.44 6118.69:6118.69:6118.69 -IOPATH A[5] O[20] 5988.15:5988.15:5988.15 5965.74:5965.74:5965.74 -IOPATH A[5] O[21] 5968.49:5968.49:5968.49 5945.34:5945.34:5945.34 -IOPATH A[5] O[22] 6027.81:6027.81:6027.81 6033.86:6033.86:6033.86 -IOPATH A[5] O[23] 6108.61:6108.61:6108.61 6103.43:6103.43:6103.43 -IOPATH A[5] O[24] 6005.02:6005.02:6005.02 6038.83:6038.83:6038.83 -IOPATH A[5] O[25] 6086.53:6086.53:6086.53 6139.26:6139.26:6139.26 -IOPATH A[5] O[26] 6171.05:6171.05:6171.05 6220.26:6220.26:6220.26 -IOPATH A[5] O[27] 6264.64:6264.64:6264.64 6310.77:6310.77:6310.77 -IOPATH A[5] O[28] 6209.91:6209.91:6209.91 6229.68:6229.68:6229.68 -IOPATH A[5] O[29] 6353.77:6353.77:6353.77 6372.17:6372.17:6372.17 -IOPATH A[5] O[30] 6511.68:6511.68:6511.68 6506.87:6506.87:6506.87 -IOPATH A[5] O[31] 6644.91:6644.91:6644.91 6613.04:6613.04:6613.04 -IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 -IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 -IOPATH A[6] O[6] 2596.87:2596.87:2596.87 2686.57:2686.57:2686.57 -IOPATH A[6] O[7] 2782.25:2782.25:2782.25 2812.97:2812.97:2812.97 -IOPATH A[6] O[8] 4201.78:4201.78:4201.78 4189.21:4189.21:4189.21 -IOPATH A[6] O[9] 4379.08:4379.08:4379.08 4291.58:4291.58:4291.58 -IOPATH A[6] O[10] 4632.8:4632.8:4632.8 4581.76:4581.76:4581.76 -IOPATH A[6] O[11] 4831.18:4831.18:4831.18 4808.19:4808.19:4808.19 -IOPATH A[6] O[12] 5125.17:5125.17:5125.17 5082.23:5082.23:5082.23 -IOPATH A[6] O[13] 5281.7:5281.7:5281.7 5167.31:5167.31:5167.31 -IOPATH A[6] O[14] 5520.92:5520.92:5520.92 5450.26:5450.26:5450.26 -IOPATH A[6] O[15] 5385.17:5385.17:5385.17 5396.44:5396.44:5396.44 -IOPATH A[6] O[16] 5664.31:5664.31:5664.31 5588.75:5588.75:5588.75 -IOPATH A[6] O[17] 5612.82:5612.82:5612.82 5641.92:5641.92:5641.92 -IOPATH A[6] O[18] 5626.05:5626.05:5626.05 5651.43:5651.43:5651.43 -IOPATH A[6] O[19] 5881.61:5881.61:5881.61 5886.87:5886.87:5886.87 -IOPATH A[6] O[20] 5756.33:5756.33:5756.33 5733.91:5733.91:5733.91 -IOPATH A[6] O[21] 5736.67:5736.67:5736.67 5713.51:5713.51:5713.51 -IOPATH A[6] O[22] 5795.99:5795.99:5795.99 5802.03:5802.03:5802.03 -IOPATH A[6] O[23] 5876.79:5876.79:5876.79 5871.6:5871.6:5871.6 -IOPATH A[6] O[24] 5773.2:5773.2:5773.2 5807:5807:5807 -IOPATH A[6] O[25] 5854.7:5854.7:5854.7 5907.44:5907.44:5907.44 -IOPATH A[6] O[26] 5939.22:5939.22:5939.22 5988.44:5988.44:5988.44 -IOPATH A[6] O[27] 6032.81:6032.81:6032.81 6078.94:6078.94:6078.94 -IOPATH A[6] O[28] 5978.08:5978.08:5978.08 5997.85:5997.85:5997.85 -IOPATH A[6] O[29] 6121.95:6121.95:6121.95 6140.35:6140.35:6140.35 -IOPATH A[6] O[30] 6279.86:6279.86:6279.86 6275.04:6275.04:6275.04 -IOPATH A[6] O[31] 6413.09:6413.09:6413.09 6381.21:6381.21:6381.21 -IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 -IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 -IOPATH A[7] O[7] 2828.52:2828.52:2828.52 2859.24:2859.24:2859.24 -IOPATH A[7] O[8] 4248.05:4248.05:4248.05 4235.48:4235.48:4235.48 -IOPATH A[7] O[9] 4425.35:4425.35:4425.35 4337.85:4337.85:4337.85 -IOPATH A[7] O[10] 4679.07:4679.07:4679.07 4628.03:4628.03:4628.03 -IOPATH A[7] O[11] 4877.45:4877.45:4877.45 4854.46:4854.46:4854.46 -IOPATH A[7] O[12] 5171.44:5171.44:5171.44 5128.5:5128.5:5128.5 -IOPATH A[7] O[13] 5327.97:5327.97:5327.97 5213.58:5213.58:5213.58 -IOPATH A[7] O[14] 5567.19:5567.19:5567.19 5496.53:5496.53:5496.53 -IOPATH A[7] O[15] 5431.44:5431.44:5431.44 5442.71:5442.71:5442.71 -IOPATH A[7] O[16] 5710.58:5710.58:5710.58 5635.02:5635.02:5635.02 -IOPATH A[7] O[17] 5659.09:5659.09:5659.09 5688.19:5688.19:5688.19 -IOPATH A[7] O[18] 5672.32:5672.32:5672.32 5697.7:5697.7:5697.7 -IOPATH A[7] O[19] 5927.88:5927.88:5927.88 5933.14:5933.14:5933.14 -IOPATH A[7] O[20] 5802.6:5802.6:5802.6 5780.18:5780.18:5780.18 -IOPATH A[7] O[21] 5782.94:5782.94:5782.94 5759.78:5759.78:5759.78 -IOPATH A[7] O[22] 5842.26:5842.26:5842.26 5848.3:5848.3:5848.3 -IOPATH A[7] O[23] 5923.06:5923.06:5923.06 5917.87:5917.87:5917.87 -IOPATH A[7] O[24] 5819.47:5819.47:5819.47 5853.28:5853.28:5853.28 -IOPATH A[7] O[25] 5900.98:5900.98:5900.98 5953.71:5953.71:5953.71 -IOPATH A[7] O[26] 5985.49:5985.49:5985.49 6034.71:6034.71:6034.71 -IOPATH A[7] O[27] 6079.08:6079.08:6079.08 6125.21:6125.21:6125.21 -IOPATH A[7] O[28] 6024.35:6024.35:6024.35 6044.12:6044.12:6044.12 -IOPATH A[7] O[29] 6168.22:6168.22:6168.22 6186.62:6186.62:6186.62 -IOPATH A[7] O[30] 6326.13:6326.13:6326.13 6321.31:6321.31:6321.31 -IOPATH A[7] O[31] 6459.36:6459.36:6459.36 6427.48:6427.48:6427.48 -IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 -IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 -IOPATH A[8] O[8] 2181.52:2181.52:2181.52 2215.34:2215.34:2215.34 -IOPATH A[8] O[9] 2377.27:2377.27:2377.27 2316.55:2316.55:2316.55 -IOPATH A[8] O[10] 2663.39:2663.39:2663.39 2637.24:2637.24:2637.24 -IOPATH A[8] O[11] 2914.52:2914.52:2914.52 2893.91:2893.91:2893.91 -IOPATH A[8] O[12] 3233.33:3233.33:3233.33 3223.97:3223.97:3223.97 -IOPATH A[8] O[13] 3394.97:3394.97:3394.97 3309.05:3309.05:3309.05 -IOPATH A[8] O[14] 3637.35:3637.35:3637.35 3582.02:3582.02:3582.02 -IOPATH A[8] O[15] 3501.6:3501.6:3501.6 3518.35:3518.35:3518.35 -IOPATH A[8] O[16] 4088.57:4088.57:4088.57 3984.7:3984.7:3984.7 -IOPATH A[8] O[17] 4058.87:4058.87:4058.87 4087.96:4087.96:4087.96 -IOPATH A[8] O[18] 4096.3:4096.3:4096.3 4121.68:4121.68:4121.68 -IOPATH A[8] O[19] 4351.87:4351.87:4351.87 4357.12:4357.12:4357.12 -IOPATH A[8] O[20] 4639.93:4639.93:4639.93 4626.83:4626.83:4626.83 -IOPATH A[8] O[21] 4620.27:4620.27:4620.27 4597.11:4597.11:4597.11 -IOPATH A[8] O[22] 4679.58:4679.58:4679.58 4685.63:4685.63:4685.63 -IOPATH A[8] O[23] 4760.38:4760.38:4760.38 4755.2:4755.2:4755.2 -IOPATH A[8] O[24] 5017.18:5017.18:5017.18 5003.42:5003.42:5003.42 -IOPATH A[8] O[25] 5114.37:5114.37:5114.37 5116.67:5116.67:5116.67 -IOPATH A[8] O[26] 5212.48:5212.48:5212.48 5211.22:5211.22:5211.22 -IOPATH A[8] O[27] 5317.11:5317.11:5317.11 5313.03:5313.03:5313.03 -IOPATH A[8] O[28] 5334.01:5334.01:5334.01 5329.04:5329.04:5329.04 -IOPATH A[8] O[29] 5477.88:5477.88:5477.88 5484.89:5484.89:5484.89 -IOPATH A[8] O[30] 5635.79:5635.79:5635.79 5630.97:5630.97:5630.97 -IOPATH A[8] O[31] 5769.02:5769.02:5769.02 5737.14:5737.14:5737.14 -IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 -IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 -IOPATH A[9] O[9] 2347.44:2347.44:2347.44 2286.72:2286.72:2286.72 -IOPATH A[9] O[10] 2882.62:2882.62:2882.62 2856.46:2856.46:2856.46 -IOPATH A[9] O[11] 3133.74:3133.74:3133.74 3113.13:3113.13:3113.13 -IOPATH A[9] O[12] 3452.55:3452.55:3452.55 3443.19:3443.19:3443.19 -IOPATH A[9] O[13] 3595.63:3595.63:3595.63 3528.27:3528.27:3528.27 -IOPATH A[9] O[14] 3823:3823:3823 3801.24:3801.24:3801.24 -IOPATH A[9] O[15] 3687.25:3687.25:3687.25 3736.22:3736.22:3736.22 -IOPATH A[9] O[16] 4344.87:4344.87:4344.87 4241.01:4241.01:4241.01 -IOPATH A[9] O[17] 4315.17:4315.17:4315.17 4344.26:4344.26:4344.26 -IOPATH A[9] O[18] 4352.6:4352.6:4352.6 4377.99:4377.99:4377.99 -IOPATH A[9] O[19] 4608.17:4608.17:4608.17 4613.42:4613.42:4613.42 -IOPATH A[9] O[20] 4896.23:4896.23:4896.23 4883.13:4883.13:4883.13 -IOPATH A[9] O[21] 4876.57:4876.57:4876.57 4853.41:4853.41:4853.41 -IOPATH A[9] O[22] 4935.89:4935.89:4935.89 4941.93:4941.93:4941.93 -IOPATH A[9] O[23] 5016.68:5016.68:5016.68 5011.5:5011.5:5011.5 -IOPATH A[9] O[24] 5273.48:5273.48:5273.48 5259.72:5259.72:5259.72 -IOPATH A[9] O[25] 5370.67:5370.67:5370.67 5372.97:5372.97:5372.97 -IOPATH A[9] O[26] 5468.78:5468.78:5468.78 5467.52:5467.52:5467.52 -IOPATH A[9] O[27] 5573.41:5573.41:5573.41 5569.34:5569.34:5569.34 -IOPATH A[9] O[28] 5590.31:5590.31:5590.31 5585.34:5585.34:5585.34 -IOPATH A[9] O[29] 5734.18:5734.18:5734.18 5741.19:5741.19:5741.19 -IOPATH A[9] O[30] 5892.09:5892.09:5892.09 5887.27:5887.27:5887.27 -IOPATH A[9] O[31] 6025.32:6025.32:6025.32 5993.44:5993.44:5993.44 -IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 -IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 -IOPATH A[10] O[10] 2783.46:2783.46:2783.46 2757.31:2757.31:2757.31 -IOPATH A[10] O[11] 3034.59:3034.59:3034.59 3013.97:3013.97:3013.97 -IOPATH A[10] O[12] 3353.39:3353.39:3353.39 3344.04:3344.04:3344.04 -IOPATH A[10] O[13] 3496.48:3496.48:3496.48 3429.12:3429.12:3429.12 -IOPATH A[10] O[14] 3722.22:3722.22:3722.22 3702.08:3702.08:3702.08 -IOPATH A[10] O[15] 3575.27:3575.27:3575.27 3637.07:3637.07:3637.07 -IOPATH A[10] O[16] 4278.17:4278.17:4278.17 4174.31:4174.31:4174.31 -IOPATH A[10] O[17] 4248.47:4248.47:4248.47 4277.56:4277.56:4277.56 -IOPATH A[10] O[18] 4285.9:4285.9:4285.9 4311.29:4311.29:4311.29 -IOPATH A[10] O[19] 4541.47:4541.47:4541.47 4546.72:4546.72:4546.72 -IOPATH A[10] O[20] 4829.53:4829.53:4829.53 4816.43:4816.43:4816.43 -IOPATH A[10] O[21] 4809.87:4809.87:4809.87 4786.71:4786.71:4786.71 -IOPATH A[10] O[22] 4869.19:4869.19:4869.19 4875.23:4875.23:4875.23 -IOPATH A[10] O[23] 4949.99:4949.99:4949.99 4944.8:4944.8:4944.8 -IOPATH A[10] O[24] 5206.78:5206.78:5206.78 5193.02:5193.02:5193.02 -IOPATH A[10] O[25] 5303.97:5303.97:5303.97 5306.27:5306.27:5306.27 -IOPATH A[10] O[26] 5402.08:5402.08:5402.08 5400.82:5400.82:5400.82 -IOPATH A[10] O[27] 5506.71:5506.71:5506.71 5502.64:5502.64:5502.64 -IOPATH A[10] O[28] 5523.61:5523.61:5523.61 5518.65:5518.65:5518.65 -IOPATH A[10] O[29] 5667.48:5667.48:5667.48 5674.49:5674.49:5674.49 -IOPATH A[10] O[30] 5825.39:5825.39:5825.39 5820.57:5820.57:5820.57 -IOPATH A[10] O[31] 5958.62:5958.62:5958.62 5926.74:5926.74:5926.74 -IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 -IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 -IOPATH A[11] O[11] 2810.63:2810.63:2810.63 2790.01:2790.01:2790.01 -IOPATH A[11] O[12] 3165.32:3165.32:3165.32 3120.07:3120.07:3120.07 -IOPATH A[11] O[13] 3393.61:3393.61:3393.61 3284.97:3284.97:3284.97 -IOPATH A[11] O[14] 3657.25:3657.25:3657.25 3594.18:3594.18:3594.18 -IOPATH A[11] O[15] 3521.5:3521.5:3521.5 3538.24:3538.24:3538.24 -IOPATH A[11] O[16] 4262.01:4262.01:4262.01 4158.14:4158.14:4158.14 -IOPATH A[11] O[17] 4232.31:4232.31:4232.31 4261.4:4261.4:4261.4 -IOPATH A[11] O[18] 4269.74:4269.74:4269.74 4295.12:4295.12:4295.12 -IOPATH A[11] O[19] 4525.31:4525.31:4525.31 4530.56:4530.56:4530.56 -IOPATH A[11] O[20] 4813.37:4813.37:4813.37 4800.27:4800.27:4800.27 -IOPATH A[11] O[21] 4793.71:4793.71:4793.71 4770.55:4770.55:4770.55 -IOPATH A[11] O[22] 4853.02:4853.02:4853.02 4859.07:4859.07:4859.07 -IOPATH A[11] O[23] 4933.82:4933.82:4933.82 4928.64:4928.64:4928.64 -IOPATH A[11] O[24] 5190.62:5190.62:5190.62 5176.86:5176.86:5176.86 -IOPATH A[11] O[25] 5287.81:5287.81:5287.81 5290.11:5290.11:5290.11 -IOPATH A[11] O[26] 5385.92:5385.92:5385.92 5384.66:5384.66:5384.66 -IOPATH A[11] O[27] 5490.55:5490.55:5490.55 5486.47:5486.47:5486.47 -IOPATH A[11] O[28] 5507.45:5507.45:5507.45 5502.48:5502.48:5502.48 -IOPATH A[11] O[29] 5651.32:5651.32:5651.32 5658.33:5658.33:5658.33 -IOPATH A[11] O[30] 5809.23:5809.23:5809.23 5804.41:5804.41:5804.41 -IOPATH A[11] O[31] 5942.46:5942.46:5942.46 5910.58:5910.58:5910.58 -IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 -IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 -IOPATH A[12] O[12] 3271.17:3271.17:3271.17 3221.99:3221.99:3221.99 -IOPATH A[12] O[13] 3537.54:3537.54:3537.54 3428.9:3428.9:3428.9 -IOPATH A[12] O[14] 3801.18:3801.18:3801.18 3738.11:3738.11:3738.11 -IOPATH A[12] O[15] 3665.43:3665.43:3665.43 3682.17:3682.17:3682.17 -IOPATH A[12] O[16] 4479.32:4479.32:4479.32 4375.46:4375.46:4375.46 -IOPATH A[12] O[17] 4449.62:4449.62:4449.62 4478.71:4478.71:4478.71 -IOPATH A[12] O[18] 4487.05:4487.05:4487.05 4512.44:4512.44:4512.44 -IOPATH A[12] O[19] 4742.62:4742.62:4742.62 4747.87:4747.87:4747.87 -IOPATH A[12] O[20] 5030.68:5030.68:5030.68 5017.59:5017.59:5017.59 -IOPATH A[12] O[21] 5011.02:5011.02:5011.02 4987.86:4987.86:4987.86 -IOPATH A[12] O[22] 5070.34:5070.34:5070.34 5076.38:5076.38:5076.38 -IOPATH A[12] O[23] 5151.14:5151.14:5151.14 5145.95:5145.95:5145.95 -IOPATH A[12] O[24] 5407.93:5407.93:5407.93 5394.17:5394.17:5394.17 -IOPATH A[12] O[25] 5505.12:5505.12:5505.12 5507.42:5507.42:5507.42 -IOPATH A[12] O[26] 5603.24:5603.24:5603.24 5601.98:5601.98:5601.98 -IOPATH A[12] O[27] 5707.87:5707.87:5707.87 5703.79:5703.79:5703.79 -IOPATH A[12] O[28] 5724.77:5724.77:5724.77 5719.8:5719.8:5719.8 -IOPATH A[12] O[29] 5868.63:5868.63:5868.63 5875.64:5875.64:5875.64 -IOPATH A[12] O[30] 6026.54:6026.54:6026.54 6021.72:6021.72:6021.72 -IOPATH A[12] O[31] 6159.77:6159.77:6159.77 6127.89:6127.89:6127.89 -IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 -IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 -IOPATH A[13] O[13] 3177.9:3177.9:3177.9 3069.26:3069.26:3069.26 -IOPATH A[13] O[14] 3533.89:3533.89:3533.89 3476.13:3476.13:3476.13 -IOPATH A[13] O[15] 3495.17:3495.17:3495.17 3509.3:3509.3:3509.3 -IOPATH A[13] O[16] 4511.7:4511.7:4511.7 4407.84:4407.84:4407.84 -IOPATH A[13] O[17] 4482:4482:4482 4511.09:4511.09:4511.09 -IOPATH A[13] O[18] 4519.43:4519.43:4519.43 4544.82:4544.82:4544.82 -IOPATH A[13] O[19] 4775:4775:4775 4780.25:4780.25:4780.25 -IOPATH A[13] O[20] 5063.06:5063.06:5063.06 5049.97:5049.97:5049.97 -IOPATH A[13] O[21] 5043.4:5043.4:5043.4 5020.24:5020.24:5020.24 -IOPATH A[13] O[22] 5102.72:5102.72:5102.72 5108.76:5108.76:5108.76 -IOPATH A[13] O[23] 5183.52:5183.52:5183.52 5178.33:5178.33:5178.33 -IOPATH A[13] O[24] 5440.31:5440.31:5440.31 5426.55:5426.55:5426.55 -IOPATH A[13] O[25] 5537.5:5537.5:5537.5 5539.8:5539.8:5539.8 -IOPATH A[13] O[26] 5635.62:5635.62:5635.62 5634.36:5634.36:5634.36 -IOPATH A[13] O[27] 5740.25:5740.25:5740.25 5736.17:5736.17:5736.17 -IOPATH A[13] O[28] 5757.15:5757.15:5757.15 5752.18:5752.18:5752.18 -IOPATH A[13] O[29] 5901.01:5901.01:5901.01 5908.02:5908.02:5908.02 -IOPATH A[13] O[30] 6058.92:6058.92:6058.92 6054.1:6054.1:6054.1 -IOPATH A[13] O[31] 6192.15:6192.15:6192.15 6160.27:6160.27:6160.27 -IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 -IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 -IOPATH A[14] O[14] 3583.96:3583.96:3583.96 3526.21:3526.21:3526.21 -IOPATH A[14] O[15] 3527.17:3527.17:3527.17 3541.3:3541.3:3541.3 -IOPATH A[14] O[16] 4543.69:4543.69:4543.69 4439.83:4439.83:4439.83 -IOPATH A[14] O[17] 4513.99:4513.99:4513.99 4543.09:4543.09:4543.09 -IOPATH A[14] O[18] 4551.43:4551.43:4551.43 4576.81:4576.81:4576.81 -IOPATH A[14] O[19] 4806.99:4806.99:4806.99 4812.25:4812.25:4812.25 -IOPATH A[14] O[20] 5095.05:5095.05:5095.05 5081.96:5081.96:5081.96 -IOPATH A[14] O[21] 5075.39:5075.39:5075.39 5052.24:5052.24:5052.24 -IOPATH A[14] O[22] 5134.71:5134.71:5134.71 5140.75:5140.75:5140.75 -IOPATH A[14] O[23] 5215.51:5215.51:5215.51 5210.33:5210.33:5210.33 -IOPATH A[14] O[24] 5472.31:5472.31:5472.31 5458.55:5458.55:5458.55 -IOPATH A[14] O[25] 5569.49:5569.49:5569.49 5571.8:5571.8:5571.8 -IOPATH A[14] O[26] 5667.61:5667.61:5667.61 5666.35:5666.35:5666.35 -IOPATH A[14] O[27] 5772.24:5772.24:5772.24 5768.16:5768.16:5768.16 -IOPATH A[14] O[28] 5789.14:5789.14:5789.14 5784.17:5784.17:5784.17 -IOPATH A[14] O[29] 5933:5933:5933 5940.01:5940.01:5940.01 -IOPATH A[14] O[30] 6090.91:6090.91:6090.91 6086.1:6086.1:6086.1 -IOPATH A[14] O[31] 6224.14:6224.14:6224.14 6192.27:6192.27:6192.27 -IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 -IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 -IOPATH A[15] O[15] 4006.96:4006.96:4006.96 4021.09:4021.09:4021.09 -IOPATH A[15] O[16] 5023.49:5023.49:5023.49 4919.63:4919.63:4919.63 -IOPATH A[15] O[17] 4993.79:4993.79:4993.79 5022.88:5022.88:5022.88 -IOPATH A[15] O[18] 5031.22:5031.22:5031.22 5056.61:5056.61:5056.61 -IOPATH A[15] O[19] 5286.79:5286.79:5286.79 5292.04:5292.04:5292.04 -IOPATH A[15] O[20] 5574.85:5574.85:5574.85 5561.76:5561.76:5561.76 -IOPATH A[15] O[21] 5555.19:5555.19:5555.19 5532.03:5532.03:5532.03 -IOPATH A[15] O[22] 5614.51:5614.51:5614.51 5620.55:5620.55:5620.55 -IOPATH A[15] O[23] 5695.3:5695.3:5695.3 5690.12:5690.12:5690.12 -IOPATH A[15] O[24] 5952.1:5952.1:5952.1 5938.34:5938.34:5938.34 -IOPATH A[15] O[25] 6049.29:6049.29:6049.29 6051.59:6051.59:6051.59 -IOPATH A[15] O[26] 6147.41:6147.41:6147.41 6146.15:6146.15:6146.15 -IOPATH A[15] O[27] 6252.04:6252.04:6252.04 6247.96:6247.96:6247.96 -IOPATH A[15] O[28] 6268.94:6268.94:6268.94 6263.97:6263.97:6263.97 -IOPATH A[15] O[29] 6412.8:6412.8:6412.8 6419.81:6419.81:6419.81 -IOPATH A[15] O[30] 6570.71:6570.71:6570.71 6565.89:6565.89:6565.89 -IOPATH A[15] O[31] 6703.94:6703.94:6703.94 6672.06:6672.06:6672.06 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[0] O[0] 1682.06:1682.06:1682.06 1814.12:1814.12:1814.12 -IOPATH B[0] O[1] 1821.76:1821.76:1821.76 1932.21:1932.21:1932.21 -IOPATH B[0] O[2] 2156.84:2156.84:2156.84 2223.82:2223.82:2223.82 -IOPATH B[0] O[3] 2336.88:2336.88:2336.88 2398.82:2398.82:2398.82 -IOPATH B[0] O[4] 2579.98:2579.98:2579.98 2615.21:2615.21:2615.21 -IOPATH B[0] O[5] 2841.48:2841.48:2841.48 2908.17:2908.17:2908.17 -IOPATH B[0] O[6] 2909.74:2909.74:2909.74 2990.7:2990.7:2990.7 -IOPATH B[0] O[7] 3006.16:3006.16:3006.16 3062.28:3062.28:3062.28 -IOPATH B[0] O[8] 4381.87:4381.87:4381.87 4369.3:4369.3:4369.3 -IOPATH B[0] O[9] 4559.18:4559.18:4559.18 4471.67:4471.67:4471.67 -IOPATH B[0] O[10] 4812.9:4812.9:4812.9 4761.85:4761.85:4761.85 -IOPATH B[0] O[11] 5011.27:5011.27:5011.27 4988.28:4988.28:4988.28 -IOPATH B[0] O[12] 5305.26:5305.26:5305.26 5262.32:5262.32:5262.32 -IOPATH B[0] O[13] 5461.79:5461.79:5461.79 5347.4:5347.4:5347.4 -IOPATH B[0] O[14] 5701.01:5701.01:5701.01 5630.35:5630.35:5630.35 -IOPATH B[0] O[15] 5565.26:5565.26:5565.26 5576.54:5576.54:5576.54 -IOPATH B[0] O[16] 5844.41:5844.41:5844.41 5768.84:5768.84:5768.84 -IOPATH B[0] O[17] 5792.91:5792.91:5792.91 5822.01:5822.01:5822.01 -IOPATH B[0] O[18] 5806.14:5806.14:5806.14 5831.52:5831.52:5831.52 -IOPATH B[0] O[19] 6061.71:6061.71:6061.71 6066.96:6066.96:6066.96 -IOPATH B[0] O[20] 5936.43:5936.43:5936.43 5914.01:5914.01:5914.01 -IOPATH B[0] O[21] 5916.76:5916.76:5916.76 5893.61:5893.61:5893.61 -IOPATH B[0] O[22] 5976.08:5976.08:5976.08 5982.13:5982.13:5982.13 -IOPATH B[0] O[23] 6056.88:6056.88:6056.88 6051.7:6051.7:6051.7 -IOPATH B[0] O[24] 5953.29:5953.29:5953.29 5987.1:5987.1:5987.1 -IOPATH B[0] O[25] 6034.8:6034.8:6034.8 6087.53:6087.53:6087.53 -IOPATH B[0] O[26] 6119.31:6119.31:6119.31 6168.53:6168.53:6168.53 -IOPATH B[0] O[27] 6212.91:6212.91:6212.91 6259.03:6259.03:6259.03 -IOPATH B[0] O[28] 6158.18:6158.18:6158.18 6177.95:6177.95:6177.95 -IOPATH B[0] O[29] 6302.04:6302.04:6302.04 6320.44:6320.44:6320.44 -IOPATH B[0] O[30] 6459.95:6459.95:6459.95 6455.14:6455.14:6455.14 -IOPATH B[0] O[31] 6593.18:6593.18:6593.18 6561.31:6561.31:6561.31 -IOPATH B[1] O[0] 1774.62:1774.62:1774.62 1865.9:1865.9:1865.9 -IOPATH B[1] O[1] 1914.39:1914.39:1914.39 2009.73:2009.73:2009.73 -IOPATH B[1] O[2] 2421.78:2421.78:2421.78 2488.78:2488.78:2488.78 -IOPATH B[1] O[3] 2601.82:2601.82:2601.82 2663.77:2663.77:2663.77 -IOPATH B[1] O[4] 2868.23:2868.23:2868.23 2903.46:2903.46:2903.46 -IOPATH B[1] O[5] 3129.73:3129.73:3129.73 3196.42:3196.42:3196.42 -IOPATH B[1] O[6] 3197.99:3197.99:3197.99 3278.95:3278.95:3278.95 -IOPATH B[1] O[7] 3294.41:3294.41:3294.41 3350.53:3350.53:3350.53 -IOPATH B[1] O[8] 4621.52:4621.52:4621.52 4608.96:4608.96:4608.96 -IOPATH B[1] O[9] 4798.83:4798.83:4798.83 4711.33:4711.33:4711.33 -IOPATH B[1] O[10] 5052.55:5052.55:5052.55 5001.51:5001.51:5001.51 -IOPATH B[1] O[11] 5250.92:5250.92:5250.92 5227.94:5227.94:5227.94 -IOPATH B[1] O[12] 5544.91:5544.91:5544.91 5501.98:5501.98:5501.98 -IOPATH B[1] O[13] 5701.44:5701.44:5701.44 5587.06:5587.06:5587.06 -IOPATH B[1] O[14] 5940.67:5940.67:5940.67 5870.01:5870.01:5870.01 -IOPATH B[1] O[15] 5804.92:5804.92:5804.92 5816.19:5816.19:5816.19 -IOPATH B[1] O[16] 6084.06:6084.06:6084.06 6008.5:6008.5:6008.5 -IOPATH B[1] O[17] 6032.57:6032.57:6032.57 6061.67:6061.67:6061.67 -IOPATH B[1] O[18] 6045.8:6045.8:6045.8 6071.18:6071.18:6071.18 -IOPATH B[1] O[19] 6301.36:6301.36:6301.36 6306.62:6306.62:6306.62 -IOPATH B[1] O[20] 6176.08:6176.08:6176.08 6153.66:6153.66:6153.66 -IOPATH B[1] O[21] 6156.42:6156.42:6156.42 6133.26:6133.26:6133.26 -IOPATH B[1] O[22] 6215.74:6215.74:6215.74 6221.78:6221.78:6221.78 -IOPATH B[1] O[23] 6296.53:6296.53:6296.53 6291.35:6291.35:6291.35 -IOPATH B[1] O[24] 6192.95:6192.95:6192.95 6226.75:6226.75:6226.75 -IOPATH B[1] O[25] 6274.45:6274.45:6274.45 6327.18:6327.18:6327.18 -IOPATH B[1] O[26] 6358.97:6358.97:6358.97 6408.19:6408.19:6408.19 -IOPATH B[1] O[27] 6452.56:6452.56:6452.56 6498.69:6498.69:6498.69 -IOPATH B[1] O[28] 6397.83:6397.83:6397.83 6417.6:6417.6:6417.6 -IOPATH B[1] O[29] 6541.7:6541.7:6541.7 6560.09:6560.09:6560.09 -IOPATH B[1] O[30] 6699.61:6699.61:6699.61 6694.79:6694.79:6694.79 -IOPATH B[1] O[31] 6832.83:6832.83:6832.83 6800.96:6800.96:6800.96 -IOPATH B[2] O[2] 2213.84:2213.84:2213.84 2280.83:2280.83:2280.83 -IOPATH B[2] O[3] 2393.86:2393.86:2393.86 2455.8:2455.8:2455.8 -IOPATH B[2] O[4] 2666.85:2666.85:2666.85 2702.08:2702.08:2702.08 -IOPATH B[2] O[5] 2928.36:2928.36:2928.36 2995.04:2995.04:2995.04 -IOPATH B[2] O[6] 2996.62:2996.62:2996.62 3077.57:3077.57:3077.57 -IOPATH B[2] O[7] 3093.03:3093.03:3093.03 3149.15:3149.15:3149.15 -IOPATH B[2] O[8] 4512.85:4512.85:4512.85 4500.29:4500.29:4500.29 -IOPATH B[2] O[9] 4690.16:4690.16:4690.16 4602.66:4602.66:4602.66 -IOPATH B[2] O[10] 4943.88:4943.88:4943.88 4892.84:4892.84:4892.84 -IOPATH B[2] O[11] 5142.26:5142.26:5142.26 5119.27:5119.27:5119.27 -IOPATH B[2] O[12] 5436.24:5436.24:5436.24 5393.31:5393.31:5393.31 -IOPATH B[2] O[13] 5592.77:5592.77:5592.77 5478.39:5478.39:5478.39 -IOPATH B[2] O[14] 5832:5832:5832 5761.34:5761.34:5761.34 -IOPATH B[2] O[15] 5696.25:5696.25:5696.25 5707.52:5707.52:5707.52 -IOPATH B[2] O[16] 5975.39:5975.39:5975.39 5899.83:5899.83:5899.83 -IOPATH B[2] O[17] 5923.9:5923.9:5923.9 5953:5953:5953 -IOPATH B[2] O[18] 5937.13:5937.13:5937.13 5962.51:5962.51:5962.51 -IOPATH B[2] O[19] 6192.69:6192.69:6192.69 6197.95:6197.95:6197.95 -IOPATH B[2] O[20] 6067.41:6067.41:6067.41 6044.99:6044.99:6044.99 -IOPATH B[2] O[21] 6047.75:6047.75:6047.75 6024.59:6024.59:6024.59 -IOPATH B[2] O[22] 6107.06:6107.06:6107.06 6113.11:6113.11:6113.11 -IOPATH B[2] O[23] 6187.86:6187.86:6187.86 6182.68:6182.68:6182.68 -IOPATH B[2] O[24] 6084.27:6084.27:6084.27 6118.08:6118.08:6118.08 -IOPATH B[2] O[25] 6165.78:6165.78:6165.78 6218.51:6218.51:6218.51 -IOPATH B[2] O[26] 6250.3:6250.3:6250.3 6299.52:6299.52:6299.52 -IOPATH B[2] O[27] 6343.89:6343.89:6343.89 6390.02:6390.02:6390.02 -IOPATH B[2] O[28] 6289.16:6289.16:6289.16 6308.93:6308.93:6308.93 -IOPATH B[2] O[29] 6433.02:6433.02:6433.02 6451.42:6451.42:6451.42 -IOPATH B[2] O[30] 6590.94:6590.94:6590.94 6586.12:6586.12:6586.12 -IOPATH B[2] O[31] 6724.16:6724.16:6724.16 6692.29:6692.29:6692.29 -IOPATH B[3] O[2] 1999.72:1999.72:1999.72 2066.71:2066.71:2066.71 -IOPATH B[3] O[3] 2332.38:2332.38:2332.38 2368.52:2368.52:2368.52 -IOPATH B[3] O[4] 2739.29:2739.29:2739.29 2774.51:2774.51:2774.51 -IOPATH B[3] O[5] 3000.79:3000.79:3000.79 3067.47:3067.47:3067.47 -IOPATH B[3] O[6] 3069.05:3069.05:3069.05 3150.01:3150.01:3150.01 -IOPATH B[3] O[7] 3165.46:3165.46:3165.46 3221.58:3221.58:3221.58 -IOPATH B[3] O[8] 4585.29:4585.29:4585.29 4572.72:4572.72:4572.72 -IOPATH B[3] O[9] 4762.6:4762.6:4762.6 4675.09:4675.09:4675.09 -IOPATH B[3] O[10] 5016.32:5016.32:5016.32 4965.27:4965.27:4965.27 -IOPATH B[3] O[11] 5214.69:5214.69:5214.69 5191.7:5191.7:5191.7 -IOPATH B[3] O[12] 5508.68:5508.68:5508.68 5465.74:5465.74:5465.74 -IOPATH B[3] O[13] 5665.21:5665.21:5665.21 5550.82:5550.82:5550.82 -IOPATH B[3] O[14] 5904.43:5904.43:5904.43 5833.77:5833.77:5833.77 -IOPATH B[3] O[15] 5768.68:5768.68:5768.68 5779.96:5779.96:5779.96 -IOPATH B[3] O[16] 6047.82:6047.82:6047.82 5972.26:5972.26:5972.26 -IOPATH B[3] O[17] 5996.33:5996.33:5996.33 6025.43:6025.43:6025.43 -IOPATH B[3] O[18] 6009.56:6009.56:6009.56 6034.94:6034.94:6034.94 -IOPATH B[3] O[19] 6265.13:6265.13:6265.13 6270.38:6270.38:6270.38 -IOPATH B[3] O[20] 6139.84:6139.84:6139.84 6117.42:6117.42:6117.42 -IOPATH B[3] O[21] 6120.18:6120.18:6120.18 6097.03:6097.03:6097.03 -IOPATH B[3] O[22] 6179.5:6179.5:6179.5 6185.54:6185.54:6185.54 -IOPATH B[3] O[23] 6260.3:6260.3:6260.3 6255.11:6255.11:6255.11 -IOPATH B[3] O[24] 6156.71:6156.71:6156.71 6190.52:6190.52:6190.52 -IOPATH B[3] O[25] 6238.22:6238.22:6238.22 6290.95:6290.95:6290.95 -IOPATH B[3] O[26] 6322.73:6322.73:6322.73 6371.95:6371.95:6371.95 -IOPATH B[3] O[27] 6416.32:6416.32:6416.32 6462.45:6462.45:6462.45 -IOPATH B[3] O[28] 6388.59:6388.59:6388.59 6383.62:6383.62:6383.62 -IOPATH B[3] O[29] 6532.45:6532.45:6532.45 6539.46:6539.46:6539.46 -IOPATH B[3] O[30] 6690.36:6690.36:6690.36 6685.55:6685.55:6685.55 -IOPATH B[3] O[31] 6823.59:6823.59:6823.59 6791.72:6791.72:6791.72 -IOPATH B[4] O[4] 2410.2:2410.2:2410.2 2445.42:2445.42:2445.42 -IOPATH B[4] O[5] 2671.7:2671.7:2671.7 2738.38:2738.38:2738.38 -IOPATH B[4] O[6] 2739.96:2739.96:2739.96 2823.66:2823.66:2823.66 -IOPATH B[4] O[7] 2939:2939:2939 2969.72:2969.72:2969.72 -IOPATH B[4] O[8] 4358.52:4358.52:4358.52 4345.95:4345.95:4345.95 -IOPATH B[4] O[9] 4535.83:4535.83:4535.83 4448.32:4448.32:4448.32 -IOPATH B[4] O[10] 4789.55:4789.55:4789.55 4738.51:4738.51:4738.51 -IOPATH B[4] O[11] 4987.92:4987.92:4987.92 4964.93:4964.93:4964.93 -IOPATH B[4] O[12] 5281.91:5281.91:5281.91 5238.97:5238.97:5238.97 -IOPATH B[4] O[13] 5438.44:5438.44:5438.44 5324.06:5324.06:5324.06 -IOPATH B[4] O[14] 5677.67:5677.67:5677.67 5607:5607:5607 -IOPATH B[4] O[15] 5541.91:5541.91:5541.91 5553.19:5553.19:5553.19 -IOPATH B[4] O[16] 5821.06:5821.06:5821.06 5745.5:5745.5:5745.5 -IOPATH B[4] O[17] 5769.57:5769.57:5769.57 5798.66:5798.66:5798.66 -IOPATH B[4] O[18] 5782.79:5782.79:5782.79 5808.17:5808.17:5808.17 -IOPATH B[4] O[19] 6038.36:6038.36:6038.36 6043.61:6043.61:6043.61 -IOPATH B[4] O[20] 5913.08:5913.08:5913.08 5890.66:5890.66:5890.66 -IOPATH B[4] O[21] 5893.41:5893.41:5893.41 5870.26:5870.26:5870.26 -IOPATH B[4] O[22] 5952.73:5952.73:5952.73 5958.78:5958.78:5958.78 -IOPATH B[4] O[23] 6033.53:6033.53:6033.53 6028.35:6028.35:6028.35 -IOPATH B[4] O[24] 5929.94:5929.94:5929.94 5963.75:5963.75:5963.75 -IOPATH B[4] O[25] 6011.45:6011.45:6011.45 6064.18:6064.18:6064.18 -IOPATH B[4] O[26] 6095.97:6095.97:6095.97 6145.18:6145.18:6145.18 -IOPATH B[4] O[27] 6189.56:6189.56:6189.56 6235.69:6235.69:6235.69 -IOPATH B[4] O[28] 6134.83:6134.83:6134.83 6154.6:6154.6:6154.6 -IOPATH B[4] O[29] 6278.69:6278.69:6278.69 6297.09:6297.09:6297.09 -IOPATH B[4] O[30] 6436.6:6436.6:6436.6 6431.79:6431.79:6431.79 -IOPATH B[4] O[31] 6569.83:6569.83:6569.83 6537.96:6537.96:6537.96 -IOPATH B[5] O[4] 2382.45:2382.45:2382.45 2417.67:2417.67:2417.67 -IOPATH B[5] O[5] 2643.95:2643.95:2643.95 2710.63:2710.63:2710.63 -IOPATH B[5] O[6] 2829.29:2829.29:2829.29 2919:2919:2919 -IOPATH B[5] O[7] 3033.53:3033.53:3033.53 3064.25:3064.25:3064.25 -IOPATH B[5] O[8] 4453.05:4453.05:4453.05 4440.49:4440.49:4440.49 -IOPATH B[5] O[9] 4630.36:4630.36:4630.36 4542.85:4542.85:4542.85 -IOPATH B[5] O[10] 4884.08:4884.08:4884.08 4833.04:4833.04:4833.04 -IOPATH B[5] O[11] 5082.45:5082.45:5082.45 5059.46:5059.46:5059.46 -IOPATH B[5] O[12] 5376.44:5376.44:5376.44 5333.51:5333.51:5333.51 -IOPATH B[5] O[13] 5532.97:5532.97:5532.97 5418.59:5418.59:5418.59 -IOPATH B[5] O[14] 5772.19:5772.19:5772.19 5701.53:5701.53:5701.53 -IOPATH B[5] O[15] 5636.45:5636.45:5636.45 5647.72:5647.72:5647.72 -IOPATH B[5] O[16] 6014.26:6014.26:6014.26 5938.7:5938.7:5938.7 -IOPATH B[5] O[17] 5962.77:5962.77:5962.77 5991.86:5991.86:5991.86 -IOPATH B[5] O[18] 5975.99:5975.99:5975.99 6001.37:6001.37:6001.37 -IOPATH B[5] O[19] 6231.56:6231.56:6231.56 6236.82:6236.82:6236.82 -IOPATH B[5] O[20] 6106.28:6106.28:6106.28 6083.86:6083.86:6083.86 -IOPATH B[5] O[21] 6086.62:6086.62:6086.62 6063.46:6063.46:6063.46 -IOPATH B[5] O[22] 6145.93:6145.93:6145.93 6151.98:6151.98:6151.98 -IOPATH B[5] O[23] 6226.73:6226.73:6226.73 6221.55:6221.55:6221.55 -IOPATH B[5] O[24] 6123.14:6123.14:6123.14 6156.95:6156.95:6156.95 -IOPATH B[5] O[25] 6204.65:6204.65:6204.65 6257.38:6257.38:6257.38 -IOPATH B[5] O[26] 6289.17:6289.17:6289.17 6338.39:6338.39:6338.39 -IOPATH B[5] O[27] 6382.76:6382.76:6382.76 6428.89:6428.89:6428.89 -IOPATH B[5] O[28] 6328.03:6328.03:6328.03 6347.8:6347.8:6347.8 -IOPATH B[5] O[29] 6471.89:6471.89:6471.89 6490.29:6490.29:6490.29 -IOPATH B[5] O[30] 6629.8:6629.8:6629.8 6624.99:6624.99:6624.99 -IOPATH B[5] O[31] 6763.03:6763.03:6763.03 6731.16:6731.16:6731.16 -IOPATH B[6] O[6] 2385.26:2385.26:2385.26 2474.96:2474.96:2474.96 -IOPATH B[6] O[7] 2513.33:2513.33:2513.33 2571.54:2571.54:2571.54 -IOPATH B[6] O[8] 3932.85:3932.85:3932.85 3920.29:3920.29:3920.29 -IOPATH B[6] O[9] 4110.16:4110.16:4110.16 4022.66:4022.66:4022.66 -IOPATH B[6] O[10] 4369.61:4369.61:4369.61 4318.57:4318.57:4318.57 -IOPATH B[6] O[11] 4567.99:4567.99:4567.99 4545:4545:4545 -IOPATH B[6] O[12] 4861.98:4861.98:4861.98 4813.31:4813.31:4813.31 -IOPATH B[6] O[13] 5018.51:5018.51:5018.51 4900.68:4900.68:4900.68 -IOPATH B[6] O[14] 5257.73:5257.73:5257.73 5187.07:5187.07:5187.07 -IOPATH B[6] O[15] 5121.98:5121.98:5121.98 5133.25:5133.25:5133.25 -IOPATH B[6] O[16] 5689.37:5689.37:5689.37 5613.8:5613.8:5613.8 -IOPATH B[6] O[17] 5637.87:5637.87:5637.87 5666.97:5666.97:5666.97 -IOPATH B[6] O[18] 5651.1:5651.1:5651.1 5676.48:5676.48:5676.48 -IOPATH B[6] O[19] 5906.67:5906.67:5906.67 5911.92:5911.92:5911.92 -IOPATH B[6] O[20] 5781.39:5781.39:5781.39 5758.97:5758.97:5758.97 -IOPATH B[6] O[21] 5761.72:5761.72:5761.72 5738.57:5738.57:5738.57 -IOPATH B[6] O[22] 5821.04:5821.04:5821.04 5827.09:5827.09:5827.09 -IOPATH B[6] O[23] 5901.84:5901.84:5901.84 5896.66:5896.66:5896.66 -IOPATH B[6] O[24] 5798.25:5798.25:5798.25 5832.06:5832.06:5832.06 -IOPATH B[6] O[25] 5879.76:5879.76:5879.76 5932.49:5932.49:5932.49 -IOPATH B[6] O[26] 5964.27:5964.27:5964.27 6013.49:6013.49:6013.49 -IOPATH B[6] O[27] 6057.87:6057.87:6057.87 6103.99:6103.99:6103.99 -IOPATH B[6] O[28] 6003.14:6003.14:6003.14 6022.91:6022.91:6022.91 -IOPATH B[6] O[29] 6147:6147:6147 6165.4:6165.4:6165.4 -IOPATH B[6] O[30] 6304.91:6304.91:6304.91 6300.1:6300.1:6300.1 -IOPATH B[6] O[31] 6438.14:6438.14:6438.14 6406.27:6406.27:6406.27 -IOPATH B[7] O[6] 2278.12:2278.12:2278.12 2367.82:2367.82:2367.82 -IOPATH B[7] O[7] 2406.24:2406.24:2406.24 2464.4:2464.4:2464.4 -IOPATH B[7] O[8] 3981.01:3981.01:3981.01 3953.8:3953.8:3953.8 -IOPATH B[7] O[9] 4270.18:4270.18:4270.18 4163.29:4163.29:4163.29 -IOPATH B[7] O[10] 4561.14:4561.14:4561.14 4510.1:4510.1:4510.1 -IOPATH B[7] O[11] 4759.52:4759.52:4759.52 4736.53:4736.53:4736.53 -IOPATH B[7] O[12] 5053.5:5053.5:5053.5 5003.18:5003.18:5003.18 -IOPATH B[7] O[13] 5210.04:5210.04:5210.04 5092.21:5092.21:5092.21 -IOPATH B[7] O[14] 5449.26:5449.26:5449.26 5378.6:5378.6:5378.6 -IOPATH B[7] O[15] 5313.51:5313.51:5313.51 5324.78:5324.78:5324.78 -IOPATH B[7] O[16] 5880.9:5880.9:5880.9 5805.33:5805.33:5805.33 -IOPATH B[7] O[17] 5829.4:5829.4:5829.4 5858.5:5858.5:5858.5 -IOPATH B[7] O[18] 5842.63:5842.63:5842.63 5868.01:5868.01:5868.01 -IOPATH B[7] O[19] 6098.2:6098.2:6098.2 6103.45:6103.45:6103.45 -IOPATH B[7] O[20] 5972.91:5972.91:5972.91 5950.49:5950.49:5950.49 -IOPATH B[7] O[21] 5953.25:5953.25:5953.25 5930.1:5930.1:5930.1 -IOPATH B[7] O[22] 6012.57:6012.57:6012.57 6018.62:6018.62:6018.62 -IOPATH B[7] O[23] 6093.37:6093.37:6093.37 6088.19:6088.19:6088.19 -IOPATH B[7] O[24] 5989.78:5989.78:5989.78 6023.59:6023.59:6023.59 -IOPATH B[7] O[25] 6071.29:6071.29:6071.29 6124.02:6124.02:6124.02 -IOPATH B[7] O[26] 6155.8:6155.8:6155.8 6205.02:6205.02:6205.02 -IOPATH B[7] O[27] 6249.4:6249.4:6249.4 6295.52:6295.52:6295.52 -IOPATH B[7] O[28] 6194.67:6194.67:6194.67 6214.44:6214.44:6214.44 -IOPATH B[7] O[29] 6338.53:6338.53:6338.53 6356.93:6356.93:6356.93 -IOPATH B[7] O[30] 6496.44:6496.44:6496.44 6491.63:6491.63:6491.63 -IOPATH B[7] O[31] 6629.67:6629.67:6629.67 6597.8:6597.8:6597.8 -IOPATH B[8] O[8] 2283.47:2283.47:2283.47 2255.25:2255.25:2255.25 -IOPATH B[8] O[9] 2593.55:2593.55:2593.55 2465.28:2465.28:2465.28 -IOPATH B[8] O[10] 3104.28:3104.28:3104.28 3046.81:3046.81:3046.81 -IOPATH B[8] O[11] 3361.95:3361.95:3361.95 3314:3314:3314 -IOPATH B[8] O[12] 3639.48:3639.48:3639.48 3630.25:3630.25:3630.25 -IOPATH B[8] O[13] 3796.03:3796.03:3796.03 3715.33:3715.33:3715.33 -IOPATH B[8] O[14] 4055.67:4055.67:4055.67 3988.29:3988.29:3988.29 -IOPATH B[8] O[15] 3919.92:3919.92:3919.92 3931.2:3931.2:3931.2 -IOPATH B[8] O[16] 4628.9:4628.9:4628.9 4525.01:4525.01:4525.01 -IOPATH B[8] O[17] 4599.2:4599.2:4599.2 4628.29:4628.29:4628.29 -IOPATH B[8] O[18] 4612.43:4612.43:4612.43 4637.8:4637.8:4637.8 -IOPATH B[8] O[19] 4867.99:4867.99:4867.99 4873.25:4873.25:4873.25 -IOPATH B[8] O[20] 5146.21:5146.21:5146.21 5133.1:5133.1:5133.1 -IOPATH B[8] O[21] 5126.55:5126.55:5126.55 5103.39:5103.39:5103.39 -IOPATH B[8] O[22] 5185.87:5185.87:5185.87 5191.91:5191.91:5191.91 -IOPATH B[8] O[23] 5266.67:5266.67:5266.67 5261.48:5261.48:5261.48 -IOPATH B[8] O[24] 5391.81:5391.81:5391.81 5378.05:5378.05:5378.05 -IOPATH B[8] O[25] 5488.99:5488.99:5488.99 5491.3:5491.3:5491.3 -IOPATH B[8] O[26] 5587.11:5587.11:5587.11 5585.85:5585.85:5585.85 -IOPATH B[8] O[27] 5691.74:5691.74:5691.74 5687.66:5687.66:5687.66 -IOPATH B[8] O[28] 5802.5:5802.5:5802.5 5797.53:5797.53:5797.53 -IOPATH B[8] O[29] 5946.37:5946.37:5946.37 5953.38:5953.38:5953.38 -IOPATH B[8] O[30] 6104.28:6104.28:6104.28 6099.46:6099.46:6099.46 -IOPATH B[8] O[31] 6237.5:6237.5:6237.5 6205.63:6205.63:6205.63 -IOPATH B[9] O[8] 2527.03:2527.03:2527.03 2494.7:2494.7:2494.7 -IOPATH B[9] O[9] 2837.21:2837.21:2837.21 2708.94:2708.94:2708.94 -IOPATH B[9] O[10] 3396.5:3396.5:3396.5 3339.03:3339.03:3339.03 -IOPATH B[9] O[11] 3654.19:3654.19:3654.19 3606.24:3606.24:3606.24 -IOPATH B[9] O[12] 3931.72:3931.72:3931.72 3922.49:3922.49:3922.49 -IOPATH B[9] O[13] 4119.88:4119.88:4119.88 4007.57:4007.57:4007.57 -IOPATH B[9] O[14] 4383.54:4383.54:4383.54 4312.88:4312.88:4312.88 -IOPATH B[9] O[15] 4247.79:4247.79:4247.79 4259.06:4259.06:4259.06 -IOPATH B[9] O[16] 4956.82:4956.82:4956.82 4852.93:4852.93:4852.93 -IOPATH B[9] O[17] 4927.12:4927.12:4927.12 4956.21:4956.21:4956.21 -IOPATH B[9] O[18] 4940.34:4940.34:4940.34 4965.72:4965.72:4965.72 -IOPATH B[9] O[19] 5195.91:5195.91:5195.91 5201.17:5201.17:5201.17 -IOPATH B[9] O[20] 5474.13:5474.13:5474.13 5461.02:5461.02:5461.02 -IOPATH B[9] O[21] 5454.47:5454.47:5454.47 5431.31:5431.31:5431.31 -IOPATH B[9] O[22] 5513.79:5513.79:5513.79 5519.83:5519.83:5519.83 -IOPATH B[9] O[23] 5594.58:5594.58:5594.58 5589.4:5589.4:5589.4 -IOPATH B[9] O[24] 5719.72:5719.72:5719.72 5705.96:5705.96:5705.96 -IOPATH B[9] O[25] 5816.91:5816.91:5816.91 5819.22:5819.22:5819.22 -IOPATH B[9] O[26] 5915.03:5915.03:5915.03 5913.77:5913.77:5913.77 -IOPATH B[9] O[27] 6019.66:6019.66:6019.66 6015.58:6015.58:6015.58 -IOPATH B[9] O[28] 6130.42:6130.42:6130.42 6125.45:6125.45:6125.45 -IOPATH B[9] O[29] 6274.28:6274.28:6274.28 6281.3:6281.3:6281.3 -IOPATH B[9] O[30] 6432.2:6432.2:6432.2 6427.38:6427.38:6427.38 -IOPATH B[9] O[31] 6565.42:6565.42:6565.42 6533.55:6533.55:6533.55 -IOPATH B[10] O[10] 3137.58:3137.58:3137.58 3080.11:3080.11:3080.11 -IOPATH B[10] O[11] 3395.25:3395.25:3395.25 3347.3:3347.3:3347.3 -IOPATH B[10] O[12] 3706.5:3706.5:3706.5 3663.55:3663.55:3663.55 -IOPATH B[10] O[13] 3956.98:3956.98:3956.98 3812.73:3812.73:3812.73 -IOPATH B[10] O[14] 4220.64:4220.64:4220.64 4149.99:4149.99:4149.99 -IOPATH B[10] O[15] 4084.89:4084.89:4084.89 4096.16:4096.16:4096.16 -IOPATH B[10] O[16] 4793.27:4793.27:4793.27 4689.38:4689.38:4689.38 -IOPATH B[10] O[17] 4763.57:4763.57:4763.57 4792.67:4792.67:4792.67 -IOPATH B[10] O[18] 4776.8:4776.8:4776.8 4802.18:4802.18:4802.18 -IOPATH B[10] O[19] 5032.37:5032.37:5032.37 5037.62:5037.62:5037.62 -IOPATH B[10] O[20] 5310.59:5310.59:5310.59 5297.47:5297.47:5297.47 -IOPATH B[10] O[21] 5290.92:5290.92:5290.92 5267.77:5267.77:5267.77 -IOPATH B[10] O[22] 5350.24:5350.24:5350.24 5356.29:5356.29:5356.29 -IOPATH B[10] O[23] 5431.04:5431.04:5431.04 5425.86:5425.86:5425.86 -IOPATH B[10] O[24] 5556.18:5556.18:5556.18 5542.42:5542.42:5542.42 -IOPATH B[10] O[25] 5653.37:5653.37:5653.37 5655.67:5655.67:5655.67 -IOPATH B[10] O[26] 5751.48:5751.48:5751.48 5750.22:5750.22:5750.22 -IOPATH B[10] O[27] 5856.11:5856.11:5856.11 5852.03:5852.03:5852.03 -IOPATH B[10] O[28] 5966.88:5966.88:5966.88 5961.91:5961.91:5961.91 -IOPATH B[10] O[29] 6110.74:6110.74:6110.74 6117.75:6117.75:6117.75 -IOPATH B[10] O[30] 6268.65:6268.65:6268.65 6263.83:6263.83:6263.83 -IOPATH B[10] O[31] 6401.88:6401.88:6401.88 6370:6370:6370 -IOPATH B[11] O[10] 3082.13:3082.13:3082.13 3024.67:3024.67:3024.67 -IOPATH B[11] O[11] 3517.42:3517.42:3517.42 3469.47:3469.47:3469.47 -IOPATH B[11] O[12] 3860.56:3860.56:3860.56 3785.72:3785.72:3785.72 -IOPATH B[11] O[13] 4110.92:4110.92:4110.92 3966.67:3966.67:3966.67 -IOPATH B[11] O[14] 4374.58:4374.58:4374.58 4303.93:4303.93:4303.93 -IOPATH B[11] O[15] 4238.83:4238.83:4238.83 4250.11:4250.11:4250.11 -IOPATH B[11] O[16] 4945.82:4945.82:4945.82 4841.93:4841.93:4841.93 -IOPATH B[11] O[17] 4916.12:4916.12:4916.12 4945.21:4945.21:4945.21 -IOPATH B[11] O[18] 4929.35:4929.35:4929.35 4954.73:4954.73:4954.73 -IOPATH B[11] O[19] 5184.91:5184.91:5184.91 5190.17:5190.17:5190.17 -IOPATH B[11] O[20] 5463.13:5463.13:5463.13 5450.02:5450.02:5450.02 -IOPATH B[11] O[21] 5443.47:5443.47:5443.47 5420.32:5420.32:5420.32 -IOPATH B[11] O[22] 5502.79:5502.79:5502.79 5508.83:5508.83:5508.83 -IOPATH B[11] O[23] 5583.59:5583.59:5583.59 5578.4:5578.4:5578.4 -IOPATH B[11] O[24] 5708.73:5708.73:5708.73 5694.97:5694.97:5694.97 -IOPATH B[11] O[25] 5805.91:5805.91:5805.91 5808.22:5808.22:5808.22 -IOPATH B[11] O[26] 5904.03:5904.03:5904.03 5902.77:5902.77:5902.77 -IOPATH B[11] O[27] 6008.66:6008.66:6008.66 6004.58:6004.58:6004.58 -IOPATH B[11] O[28] 6119.42:6119.42:6119.42 6114.45:6114.45:6114.45 -IOPATH B[11] O[29] 6263.29:6263.29:6263.29 6270.3:6270.3:6270.3 -IOPATH B[11] O[30] 6421.2:6421.2:6421.2 6416.38:6416.38:6416.38 -IOPATH B[11] O[31] 6554.42:6554.42:6554.42 6522.55:6522.55:6522.55 -IOPATH B[12] O[12] 3436.98:3436.98:3436.98 3350.22:3350.22:3350.22 -IOPATH B[12] O[13] 3687.34:3687.34:3687.34 3543.1:3543.1:3543.1 -IOPATH B[12] O[14] 3983.81:3983.81:3983.81 3886.73:3886.73:3886.73 -IOPATH B[12] O[15] 3982.18:3982.18:3982.18 3968.39:3968.39:3968.39 -IOPATH B[12] O[16] 4695.28:4695.28:4695.28 4591.39:4591.39:4591.39 -IOPATH B[12] O[17] 4665.58:4665.58:4665.58 4694.67:4694.67:4694.67 -IOPATH B[12] O[18] 4678.81:4678.81:4678.81 4704.18:4704.18:4704.18 -IOPATH B[12] O[19] 4934.37:4934.37:4934.37 4939.63:4939.63:4939.63 -IOPATH B[12] O[20] 5212.59:5212.59:5212.59 5199.48:5199.48:5199.48 -IOPATH B[12] O[21] 5192.93:5192.93:5192.93 5169.77:5169.77:5169.77 -IOPATH B[12] O[22] 5252.25:5252.25:5252.25 5258.29:5258.29:5258.29 -IOPATH B[12] O[23] 5333.04:5333.04:5333.04 5327.86:5327.86:5327.86 -IOPATH B[12] O[24] 5458.18:5458.18:5458.18 5444.43:5444.43:5444.43 -IOPATH B[12] O[25] 5555.37:5555.37:5555.37 5557.68:5557.68:5557.68 -IOPATH B[12] O[26] 5653.49:5653.49:5653.49 5652.23:5652.23:5652.23 -IOPATH B[12] O[27] 5758.12:5758.12:5758.12 5754.04:5754.04:5754.04 -IOPATH B[12] O[28] 5868.88:5868.88:5868.88 5863.91:5863.91:5863.91 -IOPATH B[12] O[29] 6012.75:6012.75:6012.75 6019.76:6019.76:6019.76 -IOPATH B[12] O[30] 6170.66:6170.66:6170.66 6165.84:6165.84:6165.84 -IOPATH B[12] O[31] 6303.88:6303.88:6303.88 6272.01:6272.01:6272.01 -IOPATH B[13] O[12] 3439.8:3439.8:3439.8 3353.03:3353.03:3353.03 -IOPATH B[13] O[13] 3690.28:3690.28:3690.28 3546.03:3546.03:3546.03 -IOPATH B[13] O[14] 3987.65:3987.65:3987.65 3890.46:3890.46:3890.46 -IOPATH B[13] O[15] 3990.06:3990.06:3990.06 3976.28:3976.28:3976.28 -IOPATH B[13] O[16] 4703.16:4703.16:4703.16 4599.28:4599.28:4599.28 -IOPATH B[13] O[17] 4673.46:4673.46:4673.46 4702.56:4702.56:4702.56 -IOPATH B[13] O[18] 4686.69:4686.69:4686.69 4712.07:4712.07:4712.07 -IOPATH B[13] O[19] 4942.26:4942.26:4942.26 4947.51:4947.51:4947.51 -IOPATH B[13] O[20] 5220.48:5220.48:5220.48 5207.37:5207.37:5207.37 -IOPATH B[13] O[21] 5200.82:5200.82:5200.82 5177.66:5177.66:5177.66 -IOPATH B[13] O[22] 5260.13:5260.13:5260.13 5266.18:5266.18:5266.18 -IOPATH B[13] O[23] 5340.93:5340.93:5340.93 5335.75:5335.75:5335.75 -IOPATH B[13] O[24] 5508.39:5508.39:5508.39 5494.63:5494.63:5494.63 -IOPATH B[13] O[25] 5605.58:5605.58:5605.58 5607.89:5607.89:5607.89 -IOPATH B[13] O[26] 5703.7:5703.7:5703.7 5702.44:5702.44:5702.44 -IOPATH B[13] O[27] 5808.33:5808.33:5808.33 5804.25:5804.25:5804.25 -IOPATH B[13] O[28] 5919.09:5919.09:5919.09 5914.12:5914.12:5914.12 -IOPATH B[13] O[29] 6062.95:6062.95:6062.95 6069.97:6069.97:6069.97 -IOPATH B[13] O[30] 6220.87:6220.87:6220.87 6216.05:6216.05:6216.05 -IOPATH B[13] O[31] 6354.09:6354.09:6354.09 6322.22:6322.22:6322.22 -IOPATH B[14] O[14] 3524.28:3524.28:3524.28 3427.08:3427.08:3427.08 -IOPATH B[14] O[15] 3461.31:3461.31:3461.31 3447.53:3447.53:3447.53 -IOPATH B[14] O[16] 4174.41:4174.41:4174.41 4070.52:4070.52:4070.52 -IOPATH B[14] O[17] 4144.71:4144.71:4144.71 4173.81:4173.81:4173.81 -IOPATH B[14] O[18] 4157.94:4157.94:4157.94 4183.32:4183.32:4183.32 -IOPATH B[14] O[19] 4413.51:4413.51:4413.51 4418.76:4418.76:4418.76 -IOPATH B[14] O[20] 4691.73:4691.73:4691.73 4678.62:4678.62:4678.62 -IOPATH B[14] O[21] 4672.07:4672.07:4672.07 4648.91:4648.91:4648.91 -IOPATH B[14] O[22] 4731.39:4731.39:4731.39 4737.43:4737.43:4737.43 -IOPATH B[14] O[23] 4812.18:4812.18:4812.18 4807:4807:4807 -IOPATH B[14] O[24] 5200.54:5200.54:5200.54 5186.78:5186.78:5186.78 -IOPATH B[14] O[25] 5297.73:5297.73:5297.73 5300.03:5300.03:5300.03 -IOPATH B[14] O[26] 5395.84:5395.84:5395.84 5394.58:5394.58:5394.58 -IOPATH B[14] O[27] 5500.47:5500.47:5500.47 5496.39:5496.39:5496.39 -IOPATH B[14] O[28] 5611.23:5611.23:5611.23 5606.27:5606.27:5606.27 -IOPATH B[14] O[29] 5755.1:5755.1:5755.1 5762.11:5762.11:5762.11 -IOPATH B[14] O[30] 5913.01:5913.01:5913.01 5908.19:5908.19:5908.19 -IOPATH B[14] O[31] 6046.24:6046.24:6046.24 6014.36:6014.36:6014.36 -IOPATH B[15] O[14] 3692.79:3692.79:3692.79 3595.59:3595.59:3595.59 -IOPATH B[15] O[15] 3629.87:3629.87:3629.87 3616.09:3616.09:3616.09 -IOPATH B[15] O[16] 4432.11:4432.11:4432.11 4328.22:4328.22:4328.22 -IOPATH B[15] O[17] 4483.91:4483.91:4483.91 4485.47:4485.47:4485.47 -IOPATH B[15] O[18] 4521.15:4521.15:4521.15 4546.53:4546.53:4546.53 -IOPATH B[15] O[19] 4780.61:4780.61:4780.61 4785.86:4785.86:4785.86 -IOPATH B[15] O[20] 5088.28:5088.28:5088.28 5075.17:5075.17:5075.17 -IOPATH B[15] O[21] 5068.62:5068.62:5068.62 5045.46:5045.46:5045.46 -IOPATH B[15] O[22] 5127.94:5127.94:5127.94 5133.98:5133.98:5133.98 -IOPATH B[15] O[23] 5208.74:5208.74:5208.74 5203.55:5203.55:5203.55 -IOPATH B[15] O[24] 5651.62:5651.62:5651.62 5637.86:5637.86:5637.86 -IOPATH B[15] O[25] 5748.81:5748.81:5748.81 5751.11:5751.11:5751.11 -IOPATH B[15] O[26] 5846.92:5846.92:5846.92 5845.66:5845.66:5845.66 -IOPATH B[15] O[27] 5951.55:5951.55:5951.55 5947.47:5947.47:5947.47 -IOPATH B[15] O[28] 6062.32:6062.32:6062.32 6057.35:6057.35:6057.35 -IOPATH B[15] O[29] 6206.18:6206.18:6206.18 6213.19:6213.19:6213.19 -IOPATH B[15] O[30] 6364.09:6364.09:6364.09 6359.27:6359.27:6359.27 -IOPATH B[15] O[31] 6497.32:6497.32:6497.32 6465.45:6465.45:6465.45 +IOPATH A[0] ACCUMCO 725.168:1267.89:1953.43 950.218:1661.37:2559.66 +IOPATH A[0] CO 1006.6:1759.96:2711.55 1218.67:2130.73:3282.79 +IOPATH A[0] O[0] 761.656:1331.69:2051.72 814.596:1424.25:2194.33 +IOPATH A[0] O[1] 830.423:1451.92:2236.96 877.301:1533.88:2363.24 +IOPATH A[0] O[2] 931.638:1628.89:2509.61 964.577:1686.48:2598.34 +IOPATH A[0] O[3] 1020.15:1783.64:2748.04 1050.61:1836.9:2830.09 +IOPATH A[0] O[4] 1097.26:1918.46:2955.74 1127.19:1970.79:3036.38 +IOPATH A[0] O[5] 1167.76:2041.73:3145.66 1200.55:2099.06:3233.99 +IOPATH A[0] O[6] 1201.33:2100.42:3236.09 1241.13:2170.01:3343.31 +IOPATH A[0] O[7] 1248.73:2183.3:3363.78 1276.32:2231.54:3438.11 +IOPATH A[0] O[8] 1834.73:3207.87:4942.33 1828.55:3197.07:4925.68 +IOPATH A[0] O[9] 1921.91:3360.29:5177.16 1878.89:3285.07:5061.26 +IOPATH A[0] O[10] 2046.66:3578.4:5513.2 2021.56:3534.52:5445.6 +IOPATH A[0] O[11] 2144.19:3748.93:5775.94 2132.89:3729.17:5745.49 +IOPATH A[0] O[12] 2288.74:4001.66:6165.31 2267.63:3964.75:6108.45 +IOPATH A[0] O[13] 2365.7:4136.22:6372.63 2309.46:4037.89:6221.13 +IOPATH A[0] O[14] 2483.32:4341.87:6689.47 2448.58:4281.13:6595.89 +IOPATH A[0] O[15] 2416.58:4225.17:6509.68 2422.12:4234.87:6524.61 +IOPATH A[0] O[16] 2585.82:4521.08:6965.58 2548.67:4456.12:6865.5 +IOPATH A[0] O[17] 2560.5:4476.82:6897.38 2574.81:4501.83:6935.92 +IOPATH A[0] O[18] 2567.01:4488.19:6914.9 2579.49:4510.01:6948.51 +IOPATH A[0] O[19] 2692.66:4707.89:7253.38 2695.25:4712.4:7260.34 +IOPATH A[0] O[20] 2631.06:4600.18:7087.45 2620.04:4580.91:7057.76 +IOPATH A[0] O[21] 2621.4:4583.28:7061.41 2610.01:4563.38:7030.74 +IOPATH A[0] O[22] 2650.56:4634.28:7139.98 2653.53:4639.47:7147.98 +IOPATH A[0] O[23] 2690.29:4703.74:7246.99 2687.74:4699.28:7240.13 +IOPATH A[0] O[24] 2639.36:4614.68:7109.79 2655.98:4643.75:7154.57 +IOPATH A[0] O[25] 2679.43:4684.75:7217.74 2705.36:4730.08:7287.58 +IOPATH A[0] O[26] 2720.99:4757.41:7329.68 2745.18:4799.72:7394.87 +IOPATH A[0] O[27] 2767:4837.86:7453.64 2789.68:4877.52:7514.73 +IOPATH A[0] O[28] 2740.09:4790.81:7381.15 2749.81:4807.81:7407.34 +IOPATH A[0] O[29] 2810.83:4914.49:7571.69 2819.87:4930.3:7596.06 +IOPATH A[0] O[30] 2888.47:5050.24:7780.84 2886.1:5046.09:7774.46 +IOPATH A[0] O[31] 2953.97:5164.76:7957.29 2938.3:5137.36:7915.08 +IOPATH A[1] ACCUMCO 842.338:1472.76:2269.06 980.093:1713.61:2640.13 +IOPATH A[1] CO 1123.77:1964.82:3027.18 1248.54:2182.96:3363.27 +IOPATH A[1] O[1] 814.824:1424.65:2194.94 862.756:1508.45:2324.06 +IOPATH A[1] O[2] 993.141:1736.42:2675.28 1026.07:1794:2763.99 +IOPATH A[1] O[3] 1081.65:1891.17:2913.71 1112.11:1944.43:2995.75 +IOPATH A[1] O[4] 1166.1:2038.83:3141.2 1184.36:2070.74:3190.37 +IOPATH A[1] O[5] 1294.68:2263.63:3487.55 1327.46:2320.95:3575.87 +IOPATH A[1] O[6] 1328.24:2322.31:3577.96 1368.04:2391.91:3685.18 +IOPATH A[1] O[7] 1375.64:2405.19:3705.65 1403.24:2453.43:3779.98 +IOPATH A[1] O[8] 1950.12:3409.61:5253.14 1943.94:3398.8:5236.5 +IOPATH A[1] O[9] 2037.29:3562.03:5487.98 1994.27:3486.81:5372.08 +IOPATH A[1] O[10] 2162.04:3780.14:5824.02 2136.95:3736.26:5756.42 +IOPATH A[1] O[11] 2259.58:3950.67:6086.76 2248.27:3930.91:6056.31 +IOPATH A[1] O[12] 2404.12:4203.4:6476.13 2383.01:4166.49:6419.26 +IOPATH A[1] O[13] 2481.09:4337.96:6683.45 2424.84:4239.63:6531.95 +IOPATH A[1] O[14] 2598.71:4543.61:7000.29 2563.96:4482.87:6906.7 +IOPATH A[1] O[15] 2531.96:4426.91:6820.5 2537.5:4436.6:6835.43 +IOPATH A[1] O[16] 2669.21:4666.88:7190.2 2632.06:4601.92:7090.13 +IOPATH A[1] O[17] 2643.89:4622.61:7122.01 2658.2:4647.63:7160.55 +IOPATH A[1] O[18] 2650.4:4633.99:7139.53 2662.87:4655.8:7173.14 +IOPATH A[1] O[19] 2776.05:4853.68:7478.01 2778.63:4858.2:7484.97 +IOPATH A[1] O[20] 2714.45:4745.98:7312.08 2703.43:4726.71:7282.39 +IOPATH A[1] O[21] 2704.79:4729.08:7286.04 2693.4:4709.17:7255.37 +IOPATH A[1] O[22] 2733.95:4780.07:7364.6 2736.92:4785.27:7372.61 +IOPATH A[1] O[23] 2773.68:4849.53:7471.62 2771.13:4845.08:7464.75 +IOPATH A[1] O[24] 2722.74:4760.48:7334.42 2739.37:4789.54:7379.2 +IOPATH A[1] O[25] 2762.82:4830.55:7442.37 2788.75:4875.88:7512.21 +IOPATH A[1] O[26] 2804.37:4903.2:7554.31 2828.57:4945.51:7619.49 +IOPATH A[1] O[27] 2850.39:4983.66:7678.27 2873.07:5023.31:7739.36 +IOPATH A[1] O[28] 2823.48:4936.61:7605.78 2833.2:4953.61:7631.97 +IOPATH A[1] O[29] 2894.22:5060.28:7796.32 2903.26:5076.1:7820.69 +IOPATH A[1] O[30] 2971.86:5196.03:8005.47 2969.49:5191.89:7999.09 +IOPATH A[1] O[31] 3037.36:5310.56:8181.92 3021.69:5283.16:8139.7 +IOPATH A[2] ACCUMCO 809.798:1415.86:2181.4 915.404:1600.5:2465.88 +IOPATH A[2] CO 1091.23:1907.93:2939.52 1183.85:2069.86:3189.01 +IOPATH A[2] O[2] 984.528:1721.36:2652.08 1017.46:1778.94:2740.79 +IOPATH A[2] O[3] 1073.04:1876.11:2890.51 1103.5:1929.37:2972.55 +IOPATH A[2] O[4] 1157.61:2023.98:3118.32 1175.74:2055.68:3167.17 +IOPATH A[2] O[5] 1286.18:2248.78:3464.66 1318.97:2306.1:3552.98 +IOPATH A[2] O[6] 1319.74:2307.46:3555.07 1359.55:2377.05:3662.3 +IOPATH A[2] O[7] 1367.15:2390.34:3682.77 1394.74:2438.58:3757.1 +IOPATH A[2] O[8] 1952.98:3414.61:5260.85 1946.8:3403.81:5244.21 +IOPATH A[2] O[9] 2040.16:3567.03:5495.69 1997.13:3491.81:5379.79 +IOPATH A[2] O[10] 2164.9:3785.15:5831.73 2139.81:3741.27:5764.13 +IOPATH A[2] O[11] 2262.44:3955.68:6094.47 2251.14:3935.92:6064.02 +IOPATH A[2] O[12] 2406.99:4208.4:6483.84 2385.88:4171.49:6426.97 +IOPATH A[2] O[13] 2483.95:4342.97:6691.16 2427.71:4244.63:6539.66 +IOPATH A[2] O[14] 2601.57:4548.61:7008 2566.83:4487.87:6914.41 +IOPATH A[2] O[15] 2534.82:4431.92:6828.2 2540.37:4441.61:6843.14 +IOPATH A[2] O[16] 2672.07:4671.88:7197.92 2634.92:4606.92:7097.84 +IOPATH A[2] O[17] 2646.75:4627.62:7129.72 2661.06:4652.63:7168.26 +IOPATH A[2] O[18] 2653.26:4638.99:7147.24 2665.74:4660.81:7180.85 +IOPATH A[2] O[19] 2778.91:4858.69:7485.72 2781.5:4863.2:7492.68 +IOPATH A[2] O[20] 2717.31:4750.99:7319.79 2706.29:4731.71:7290.1 +IOPATH A[2] O[21] 2707.65:4734.08:7293.75 2696.26:4714.18:7263.08 +IOPATH A[2] O[22] 2736.81:4785.08:7372.31 2739.78:4790.27:7380.32 +IOPATH A[2] O[23] 2776.54:4854.54:7479.33 2773.99:4850.08:7472.46 +IOPATH A[2] O[24] 2725.61:4765.49:7342.13 2742.23:4794.55:7386.91 +IOPATH A[2] O[25] 2765.68:4835.55:7450.08 2791.61:4880.88:7519.92 +IOPATH A[2] O[26] 2807.24:4908.21:7562.02 2831.44:4950.52:7627.2 +IOPATH A[2] O[27] 2853.25:4988.66:7685.97 2875.93:5028.32:7747.07 +IOPATH A[2] O[28] 2826.34:4941.62:7613.49 2836.06:4958.61:7639.68 +IOPATH A[2] O[29] 2897.08:5065.29:7804.03 2906.12:5081.11:7828.4 +IOPATH A[2] O[30] 2974.72:5201.04:8013.18 2972.35:5196.9:8006.8 +IOPATH A[2] O[31] 3040.22:5315.56:8189.63 3024.55:5288.16:8147.41 +IOPATH A[3] ACCUMCO 777.105:1358.7:2093.33 890.717:1557.34:2399.38 +IOPATH A[3] CO 1058.54:1850.77:2851.46 1159.17:2026.7:3122.51 +IOPATH A[3] O[3] 968.787:1693.84:2609.68 986.546:1724.89:2657.52 +IOPATH A[3] O[4] 1170.83:2047.09:3153.93 1188.15:2077.37:3200.58 +IOPATH A[3] O[5] 1299.4:2271.89:3500.27 1332.19:2329.22:3588.59 +IOPATH A[3] O[6] 1332.96:2330.57:3590.68 1372.77:2400.17:3697.91 +IOPATH A[3] O[7] 1380.37:2413.45:3718.38 1407.96:2461.7:3792.71 +IOPATH A[3] O[8] 1981.21:3463.97:5336.91 1975.03:3453.17:5320.26 +IOPATH A[3] O[9] 2068.39:3616.4:5571.74 2025.36:3541.17:5455.85 +IOPATH A[3] O[10] 2193.14:3834.51:5907.78 2168.04:3790.63:5840.18 +IOPATH A[3] O[11] 2290.67:4005.04:6170.52 2279.37:3985.28:6140.07 +IOPATH A[3] O[12] 2435.22:4257.77:6559.89 2414.11:4220.86:6503.03 +IOPATH A[3] O[13] 2512.18:4392.33:6767.21 2455.94:4294:6615.71 +IOPATH A[3] O[14] 2629.8:4597.98:7084.05 2595.06:4537.23:6990.46 +IOPATH A[3] O[15] 2563.06:4481.28:6904.26 2568.6:4490.97:6919.19 +IOPATH A[3] O[16] 2700.3:4721.24:7273.97 2663.15:4656.29:7173.89 +IOPATH A[3] O[17] 2674.99:4676.98:7205.77 2689.29:4701.99:7244.31 +IOPATH A[3] O[18] 2681.49:4688.35:7223.29 2693.97:4710.17:7256.9 +IOPATH A[3] O[19] 2807.15:4908.05:7561.77 2809.73:4912.57:7568.73 +IOPATH A[3] O[20] 2745.55:4800.35:7395.84 2734.52:4781.08:7366.15 +IOPATH A[3] O[21] 2735.88:4783.45:7369.8 2724.5:4763.54:7339.13 +IOPATH A[3] O[22] 2765.04:4834.44:7448.36 2768.02:4839.64:7456.37 +IOPATH A[3] O[23] 2804.77:4903.9:7555.38 2802.22:4899.44:7548.51 +IOPATH A[3] O[24] 2753.84:4814.85:7418.18 2770.46:4843.91:7462.96 +IOPATH A[3] O[25] 2793.92:4884.92:7526.14 2819.84:4930.25:7595.98 +IOPATH A[3] O[26] 2835.47:4957.57:7638.07 2859.67:4999.88:7703.26 +IOPATH A[3] O[27] 2881.49:5038.03:7762.03 2904.17:5077.68:7823.12 +IOPATH A[3] O[28] 2854.58:4990.98:7689.54 2864.3:5007.97:7715.73 +IOPATH A[3] O[29] 2925.31:5114.65:7880.08 2934.36:5130.47:7904.45 +IOPATH A[3] O[30] 3002.95:5250.4:8089.23 3000.58:5246.26:8082.85 +IOPATH A[3] O[31] 3068.46:5364.93:8265.68 3052.79:5337.53:8223.47 +IOPATH A[4] ACCUMCO 788.202:1378.1:2123.23 985.703:1723.42:2655.25 +IOPATH A[4] CO 1069.64:1870.17:2881.35 1254.15:2192.77:3378.38 +IOPATH A[4] O[4] 1188.23:2077.52:3200.81 1205.55:2107.8:3247.46 +IOPATH A[4] O[5] 1316.8:2302.32:3547.15 1349.59:2359.64:3635.47 +IOPATH A[4] O[6] 1350.37:2361:3637.56 1390.17:2430.59:3744.79 +IOPATH A[4] O[7] 1397.77:2443.88:3765.26 1425.36:2492.12:3839.58 +IOPATH A[4] O[8] 2064.69:3609.94:5561.79 2058.52:3599.13:5545.14 +IOPATH A[4] O[9] 2151.87:3762.36:5796.63 2108.85:3687.14:5680.73 +IOPATH A[4] O[10] 2276.62:3980.47:6132.67 2251.52:3936.59:6065.06 +IOPATH A[4] O[11] 2374.15:4151:6395.4 2362.85:4131.24:6364.95 +IOPATH A[4] O[12] 2518.7:4403.73:6784.78 2497.59:4366.82:6727.91 +IOPATH A[4] O[13] 2595.66:4538.29:6992.09 2539.42:4439.96:6840.59 +IOPATH A[4] O[14] 2713.28:4743.94:7308.93 2678.54:4683.2:7215.35 +IOPATH A[4] O[15] 2646.54:4627.24:7129.14 2652.08:4636.93:7144.07 +IOPATH A[4] O[16] 2783.79:4867.21:7498.85 2746.63:4802.25:7398.77 +IOPATH A[4] O[17] 2758.47:4822.94:7430.65 2772.78:4847.96:7469.19 +IOPATH A[4] O[18] 2764.97:4834.31:7448.17 2777.45:4856.13:7481.79 +IOPATH A[4] O[19] 2890.63:5054.01:7786.66 2893.21:5058.53:7793.62 +IOPATH A[4] O[20] 2829.03:4946.31:7620.72 2818.01:4927.04:7591.03 +IOPATH A[4] O[21] 2819.36:4929.41:7594.68 2807.98:4909.5:7564.02 +IOPATH A[4] O[22] 2848.53:4980.4:7673.25 2851.5:4985.6:7681.25 +IOPATH A[4] O[23] 2888.26:5049.86:7780.26 2885.71:5045.4:7773.4 +IOPATH A[4] O[24] 2837.32:4960.81:7643.06 2853.95:4989.87:7687.84 +IOPATH A[4] O[25] 2877.4:5030.88:7751.02 2903.32:5076.21:7820.86 +IOPATH A[4] O[26] 2918.95:5103.53:7862.95 2943.15:5145.84:7928.14 +IOPATH A[4] O[27] 2964.97:5183.99:7986.91 2987.65:5223.64:8048.01 +IOPATH A[4] O[28] 2938.06:5136.94:7914.43 2947.78:5153.94:7940.61 +IOPATH A[4] O[29] 3008.79:5260.61:8104.97 3017.84:5276.43:8129.34 +IOPATH A[4] O[30] 3086.44:5396.36:8314.11 3084.07:5392.22:8307.73 +IOPATH A[4] O[31] 3151.94:5510.89:8490.56 3136.27:5483.49:8448.35 +IOPATH A[5] ACCUMCO 757.401:1324.25:2040.26 903.555:1579.79:2433.96 +IOPATH A[5] CO 1038.84:1816.32:2798.38 1172:2049.15:3157.09 +IOPATH A[5] O[5] 1268.95:2218.65:3418.25 1289.37:2254.35:3473.25 +IOPATH A[5] O[6] 1360.31:2378.39:3664.35 1404.42:2455.5:3783.17 +IOPATH A[5] O[7] 1481.95:2591.05:3992 1497.05:2617.46:4032.69 +IOPATH A[5] O[8] 2179.89:3811.35:5872.1 2173.71:3800.54:5855.45 +IOPATH A[5] O[9] 2267.07:3963.77:6106.93 2224.04:3888.55:5991.04 +IOPATH A[5] O[10] 2391.82:4181.88:6442.97 2366.72:4138:6375.37 +IOPATH A[5] O[11] 2489.35:4352.41:6705.71 2478.05:4332.65:6675.26 +IOPATH A[5] O[12] 2633.9:4605.14:7095.09 2612.79:4568.23:7038.22 +IOPATH A[5] O[13] 2710.86:4739.7:7302.4 2654.62:4641.37:7150.9 +IOPATH A[5] O[14] 2828.48:4945.35:7619.24 2793.74:4884.61:7525.66 +IOPATH A[5] O[15] 2761.74:4828.65:7439.45 2767.28:4838.34:7454.38 +IOPATH A[5] O[16] 2898.98:5068.62:7809.16 2861.83:5003.66:7709.08 +IOPATH A[5] O[17] 2873.66:5024.35:7740.96 2887.97:5049.37:7779.5 +IOPATH A[5] O[18] 2880.17:5035.72:7758.48 2892.65:5057.54:7792.1 +IOPATH A[5] O[19] 3005.82:5255.42:8096.97 3008.41:5259.94:8103.93 +IOPATH A[5] O[20] 2944.23:5147.72:7931.03 2933.2:5128.45:7901.34 +IOPATH A[5] O[21] 2934.56:5130.82:7904.99 2923.17:5110.91:7874.33 +IOPATH A[5] O[22] 2963.72:5181.81:7983.56 2966.7:5187.01:7991.56 +IOPATH A[5] O[23] 3003.45:5251.27:8090.57 3000.9:5246.82:8083.71 +IOPATH A[5] O[24] 2952.52:5162.22:7953.37 2969.14:5191.28:7998.15 +IOPATH A[5] O[25] 2992.59:5232.29:8061.33 3018.52:5277.62:8131.17 +IOPATH A[5] O[26] 3034.15:5304.94:8173.26 3058.35:5347.25:8238.45 +IOPATH A[5] O[27] 3080.16:5385.4:8297.22 3102.84:5425.05:8358.32 +IOPATH A[5] O[28] 3053.26:5338.35:8224.74 3062.98:5355.35:8250.92 +IOPATH A[5] O[29] 3123.99:5462.02:8415.28 3133.04:5477.84:8439.65 +IOPATH A[5] O[30] 3201.63:5597.77:8624.42 3199.26:5593.63:8618.04 +IOPATH A[5] O[31] 3267.14:5712.3:8800.88 3251.46:5684.9:8758.66 +IOPATH A[6] ACCUMCO 829.265:1449.9:2233.84 952.919:1666.1:2566.94 +IOPATH A[6] CO 1110.7:1941.96:2991.96 1221.37:2135.45:3290.07 +IOPATH A[6] O[6] 1276.81:2232.4:3439.43 1320.92:2309.51:3558.24 +IOPATH A[6] O[7] 1367.96:2391.76:3684.96 1383.07:2418.17:3725.65 +IOPATH A[6] O[8] 2065.91:3612.06:5565.06 2059.73:3601.26:5548.41 +IOPATH A[6] O[9] 2153.08:3764.48:5799.89 2110.06:3689.26:5684 +IOPATH A[6] O[10] 2277.83:3982.59:6135.93 2252.74:3938.71:6068.33 +IOPATH A[6] O[11] 2375.37:4153.12:6398.67 2364.07:4133.36:6368.22 +IOPATH A[6] O[12] 2519.91:4405.85:6788.04 2498.8:4368.94:6731.18 +IOPATH A[6] O[13] 2596.88:4540.41:6995.36 2540.64:4442.08:6843.86 +IOPATH A[6] O[14] 2714.5:4746.06:7312.2 2679.76:4685.32:7218.62 +IOPATH A[6] O[15] 2647.75:4629.36:7132.41 2653.3:4639.06:7147.34 +IOPATH A[6] O[16] 2785:4869.33:7502.12 2747.85:4804.37:7402.04 +IOPATH A[6] O[17] 2759.68:4825.06:7433.92 2773.99:4850.08:7472.46 +IOPATH A[6] O[18] 2766.19:4836.44:7451.44 2778.66:4858.25:7485.05 +IOPATH A[6] O[19] 2891.84:5056.13:7789.93 2894.43:5060.65:7796.88 +IOPATH A[6] O[20] 2830.24:4948.43:7623.99 2819.22:4929.16:7594.3 +IOPATH A[6] O[21] 2820.58:4931.53:7597.95 2809.19:4911.63:7567.29 +IOPATH A[6] O[22] 2849.74:4982.52:7676.52 2852.71:4987.72:7684.52 +IOPATH A[6] O[23] 2889.47:5051.98:7783.53 2886.92:5047.53:7776.67 +IOPATH A[6] O[24] 2838.54:4962.93:7646.33 2855.16:4991.99:7691.11 +IOPATH A[6] O[25] 2878.61:5033:7754.29 2904.54:5078.33:7824.13 +IOPATH A[6] O[26] 2920.17:5105.65:7866.22 2944.36:5147.96:7931.41 +IOPATH A[6] O[27] 2966.18:5186.11:7990.18 2988.86:5225.76:8051.27 +IOPATH A[6] O[28] 2939.27:5139.06:7917.69 2948.99:5156.06:7943.88 +IOPATH A[6] O[29] 3010.01:5262.73:8108.23 3019.05:5278.55:8132.6 +IOPATH A[6] O[30] 3087.65:5398.48:8317.38 3085.28:5394.34:8311 +IOPATH A[6] O[31] 3153.15:5513.01:8493.83 3137.48:5485.61:8451.62 +IOPATH A[7] ACCUMCO 791.512:1383.89:2132.14 912.494:1595.42:2458.04 +IOPATH A[7] CO 1072.95:1875.96:2890.27 1180.94:2064.77:3181.17 +IOPATH A[7] O[7] 1390.71:2431.54:3746.25 1405.82:2457.95:3786.94 +IOPATH A[7] O[8] 2088.66:3651.84:5626.34 2082.48:3641.03:5609.7 +IOPATH A[7] O[9] 2175.84:3804.26:5861.18 2132.81:3729.03:5745.28 +IOPATH A[7] O[10] 2300.58:4022.37:6197.22 2275.49:3978.49:6129.61 +IOPATH A[7] O[11] 2398.12:4192.9:6459.95 2386.82:4173.14:6429.51 +IOPATH A[7] O[12] 2542.67:4445.63:6849.33 2521.55:4408.72:6792.46 +IOPATH A[7] O[13] 2619.63:4580.19:7056.64 2563.39:4481.86:6905.15 +IOPATH A[7] O[14] 2737.25:4785.84:7373.48 2702.51:4725.1:7279.9 +IOPATH A[7] O[15] 2670.5:4669.14:7193.69 2676.05:4678.83:7208.62 +IOPATH A[7] O[16] 2807.75:4909.1:7563.4 2770.6:4844.15:7463.32 +IOPATH A[7] O[17] 2782.43:4864.84:7495.2 2796.74:4889.85:7533.74 +IOPATH A[7] O[18] 2788.94:4876.21:7512.72 2801.41:4898.03:7546.34 +IOPATH A[7] O[19] 2914.59:5095.91:7851.21 2917.18:5100.43:7858.17 +IOPATH A[7] O[20] 2852.99:4988.21:7685.28 2841.97:4968.94:7655.58 +IOPATH A[7] O[21] 2843.33:4971.31:7659.24 2831.94:4951.4:7628.57 +IOPATH A[7] O[22] 2872.49:5022.3:7737.8 2875.46:5027.5:7745.81 +IOPATH A[7] O[23] 2912.22:5091.76:7844.81 2909.67:5087.3:7837.95 +IOPATH A[7] O[24] 2861.29:5002.71:7707.61 2877.91:5031.77:7752.39 +IOPATH A[7] O[25] 2901.36:5072.78:7815.57 2927.29:5118.11:7885.41 +IOPATH A[7] O[26] 2942.92:5145.43:7927.51 2967.11:5187.74:7992.69 +IOPATH A[7] O[27] 2988.93:5225.89:8051.46 3011.61:5265.54:8112.56 +IOPATH A[7] O[28] 2962.02:5178.84:7978.98 2971.74:5195.83:8005.16 +IOPATH A[7] O[29] 3032.76:5302.51:8169.52 3041.8:5318.33:8193.89 +IOPATH A[7] O[30] 3110.4:5438.26:8378.66 3108.03:5434.12:8372.29 +IOPATH A[7] O[31] 3175.9:5552.79:8555.12 3160.23:5525.39:8512.9 +IOPATH A[8] ACCUMCO 772.671:1350.95:2081.39 900.977:1575.28:2427.01 +IOPATH A[8] CO 1054.11:1843.02:2839.51 1169.42:2044.64:3150.15 +IOPATH A[8] O[8] 1072.6:1875.34:2889.32 1089.23:1904.42:2934.12 +IOPATH A[8] O[9] 1168.84:2043.62:3148.58 1138.99:1991.43:3068.17 +IOPATH A[8] O[10] 1309.52:2289.58:3527.54 1296.66:2267.1:3492.9 +IOPATH A[8] O[11] 1433:2505.47:3860.15 1422.86:2487.75:3832.85 +IOPATH A[8] O[12] 1589.74:2779.53:4282.39 1585.15:2771.49:4270 +IOPATH A[8] O[13] 1669.22:2918.49:4496.48 1626.98:2844.63:4382.68 +IOPATH A[8] O[14] 1788.39:3126.85:4817.5 1761.19:3079.28:4744.21 +IOPATH A[8] O[15] 1721.65:3010.15:4637.71 1729.88:3024.55:4659.89 +IOPATH A[8] O[16] 2010.25:3514.74:5415.12 1959.18:3425.45:5277.56 +IOPATH A[8] O[17] 1995.64:3489.21:5375.78 2009.95:3514.22:5414.32 +IOPATH A[8] O[18] 2014.05:3521.39:5425.36 2026.53:3543.21:5458.98 +IOPATH A[8] O[19] 2139.7:3741.08:5763.84 2142.29:3745.6:5770.8 +IOPATH A[8] O[20] 2281.34:3988.72:6145.37 2274.9:3977.46:6128.02 +IOPATH A[8] O[21] 2271.67:3971.81:6119.33 2260.28:3951.91:6088.66 +IOPATH A[8] O[22] 2300.83:4022.81:6197.89 2303.81:4028:6205.9 +IOPATH A[8] O[23] 2340.56:4092.27:6304.91 2338.01:4087.81:6298.04 +IOPATH A[8] O[24] 2466.82:4313.02:6645.02 2460.05:4301.19:6626.8 +IOPATH A[8] O[25] 2514.61:4396.57:6773.74 2515.74:4398.55:6776.79 +IOPATH A[8] O[26] 2562.85:4480.91:6903.69 2562.23:4479.83:6902.02 +IOPATH A[8] O[27] 2614.29:4570.86:7042.27 2612.29:4567.35:7036.87 +IOPATH A[8] O[28] 2622.6:4585.39:7064.65 2620.16:4581.12:7058.07 +IOPATH A[8] O[29] 2693.33:4709.06:7255.2 2696.78:4715.09:7264.48 +IOPATH A[8] O[30] 2770.98:4844.81:7464.34 2768.61:4840.67:7457.96 +IOPATH A[8] O[31] 2836.48:4959.34:7640.79 2820.81:4931.94:7598.58 +IOPATH A[9] ACCUMCO 830.296:1451.7:2236.62 957.035:1673.29:2578.02 +IOPATH A[9] CO 1111.73:1943.77:2994.74 1225.48:2142.65:3301.16 +IOPATH A[9] O[9] 1154.18:2017.98:3109.08 1124.32:1965.78:3028.66 +IOPATH A[9] O[10] 1417.31:2478.04:3817.89 1404.45:2455.56:3783.25 +IOPATH A[9] O[11] 1540.78:2693.92:4150.5 1530.65:2676.2:4123.19 +IOPATH A[9] O[12] 1697.53:2967.98:4572.74 1692.93:2959.94:4560.35 +IOPATH A[9] O[13] 1767.88:3090.99:4762.25 1734.76:3033.08:4673.03 +IOPATH A[9] O[14] 1879.67:3286.44:5063.39 1868.97:3267.74:5034.56 +IOPATH A[9] O[15] 1812.93:3169.75:4883.59 1837.01:3211.85:4948.45 +IOPATH A[9] O[16] 2136.26:3735.07:5754.58 2085.2:3645.78:5617.02 +IOPATH A[9] O[17] 2121.66:3709.54:5715.24 2135.97:3734.55:5753.78 +IOPATH A[9] O[18] 2140.07:3741.72:5764.82 2152.55:3763.54:5798.44 +IOPATH A[9] O[19] 2265.72:3961.41:6103.3 2268.3:3965.93:6110.26 +IOPATH A[9] O[20] 2407.35:4209.05:6484.83 2400.91:4197.79:6467.49 +IOPATH A[9] O[21] 2397.69:4192.14:6458.79 2386.3:4172.24:6428.12 +IOPATH A[9] O[22] 2426.85:4243.14:6537.35 2429.82:4248.33:6545.36 +IOPATH A[9] O[23] 2466.58:4312.6:6644.37 2464.03:4308.14:6637.5 +IOPATH A[9] O[24] 2592.84:4533.35:6984.48 2586.07:4521.52:6966.26 +IOPATH A[9] O[25] 2640.62:4616.9:7113.2 2641.76:4618.88:7116.25 +IOPATH A[9] O[26] 2688.86:4701.24:7243.15 2688.24:4700.16:7241.48 +IOPATH A[9] O[27] 2740.31:4791.19:7381.73 2738.3:4787.68:7376.33 +IOPATH A[9] O[28] 2748.62:4805.72:7404.11 2746.17:4801.44:7397.53 +IOPATH A[9] O[29] 2819.35:4929.39:7594.65 2822.8:4935.42:7603.94 +IOPATH A[9] O[30] 2896.99:5065.14:7803.8 2894.62:5061:7797.42 +IOPATH A[9] O[31] 2962.5:5179.67:7980.25 2946.83:5152.27:7938.04 +IOPATH A[10] ACCUMCO 775.035:1355.08:2087.76 850.37:1486.8:2290.69 +IOPATH A[10] CO 1056.47:1847.15:2845.88 1118.82:1956.16:3013.83 +IOPATH A[10] O[10] 1368.56:2392.8:3686.57 1355.7:2370.32:3651.92 +IOPATH A[10] O[11] 1492.03:2608.69:4019.17 1481.9:2590.96:3991.87 +IOPATH A[10] O[12] 1648.78:2882.75:4441.41 1644.18:2874.7:4429.02 +IOPATH A[10] O[13] 1719.13:3005.75:4630.92 1686.01:2947.84:4541.71 +IOPATH A[10] O[14] 1830.12:3199.81:4929.91 1820.22:3182.5:4903.24 +IOPATH A[10] O[15] 1757.87:3073.48:4735.28 1788.26:3126.61:4817.13 +IOPATH A[10] O[16] 2103.47:3677.73:5666.23 2052.4:3588.44:5528.67 +IOPATH A[10] O[17] 2088.87:3652.2:5626.9 2103.17:3677.21:5665.44 +IOPATH A[10] O[18] 2107.27:3684.38:5676.48 2119.75:3706.2:5710.1 +IOPATH A[10] O[19] 2232.93:3904.08:6014.96 2235.51:3908.59:6021.92 +IOPATH A[10] O[20] 2374.56:4151.71:6396.49 2368.12:4140.45:6379.14 +IOPATH A[10] O[21] 2364.89:4134.81:6370.45 2353.51:4114.9:6339.78 +IOPATH A[10] O[22] 2394.06:4185.8:6449.01 2397.03:4190.99:6457.02 +IOPATH A[10] O[23] 2433.78:4255.26:6556.03 2431.23:4250.8:6549.16 +IOPATH A[10] O[24] 2560.04:4476.01:6896.14 2553.28:4464.18:6877.92 +IOPATH A[10] O[25] 2607.83:4559.56:7024.86 2608.96:4561.54:7027.91 +IOPATH A[10] O[26] 2656.07:4643.91:7154.81 2655.45:4642.82:7153.14 +IOPATH A[10] O[27] 2707.51:4733.85:7293.39 2705.51:4730.34:7287.99 +IOPATH A[10] O[28] 2715.82:4748.38:7315.77 2713.38:4744.11:7309.19 +IOPATH A[10] O[29] 2786.56:4872.05:7506.31 2790:4878.08:7515.6 +IOPATH A[10] O[30] 2864.2:5007.8:7715.46 2861.83:5003.66:7709.08 +IOPATH A[10] O[31] 2929.7:5122.33:7891.91 2914.03:5094.93:7849.7 +IOPATH A[11] ACCUMCO 704.52:1231.79:1897.81 783.813:1370.43:2111.4 +IOPATH A[11] CO 985.956:1723.86:2655.93 1052.26:1839.79:2834.54 +IOPATH A[11] O[11] 1381.92:2416.16:3722.55 1371.78:2398.44:3695.24 +IOPATH A[11] O[12] 1556.31:2721.07:4192.32 1534.06:2682.17:4132.39 +IOPATH A[11] O[13] 1668.55:2917.32:4494.68 1615.14:2823.93:4350.79 +IOPATH A[11] O[14] 1798.18:3143.96:4843.86 1767.17:3089.74:4760.33 +IOPATH A[11] O[15] 1731.44:3027.26:4664.07 1739.67:3041.65:4686.24 +IOPATH A[11] O[16] 2095.52:3663.84:5644.83 2044.45:3574.55:5507.27 +IOPATH A[11] O[17] 2080.92:3638.3:5605.49 2095.22:3663.32:5644.03 +IOPATH A[11] O[18] 2099.32:3670.49:5655.08 2111.8:3692.31:5688.69 +IOPATH A[11] O[19] 2224.98:3890.18:5993.56 2227.56:3894.7:6000.52 +IOPATH A[11] O[20] 2366.61:4137.81:6375.08 2360.17:4126.56:6357.74 +IOPATH A[11] O[21] 2356.94:4120.91:6349.04 2345.56:4101.01:6318.37 +IOPATH A[11] O[22] 2386.11:4171.9:6427.61 2389.08:4177.1:6435.61 +IOPATH A[11] O[23] 2425.84:4241.36:6534.62 2423.29:4236.91:6527.76 +IOPATH A[11] O[24] 2552.1:4462.12:6874.73 2545.33:4450.29:6856.51 +IOPATH A[11] O[25] 2599.88:4545.67:7003.46 2601.01:4547.65:7006.51 +IOPATH A[11] O[26] 2648.12:4630.01:7133.41 2647.5:4628.93:7131.74 +IOPATH A[11] O[27] 2699.57:4719.96:7271.98 2697.56:4716.45:7266.58 +IOPATH A[11] O[28] 2707.88:4734.48:7294.37 2705.43:4730.21:7287.78 +IOPATH A[11] O[29] 2778.61:4858.16:7484.91 2782.06:4864.18:7494.19 +IOPATH A[11] O[30] 2856.25:4993.91:7694.06 2853.88:4989.77:7687.67 +IOPATH A[11] O[31] 2921.76:5108.43:7870.51 2906.08:5081.04:7828.29 +IOPATH A[12] ACCUMCO 636.382:1112.66:1714.26 799.041:1397.05:2152.42 +IOPATH A[12] CO 917.819:1604.73:2472.38 1067.49:1866.41:2875.56 +IOPATH A[12] O[12] 1608.35:2812.06:4332.51 1584.17:2769.79:4267.38 +IOPATH A[12] O[13] 1739.32:3041.05:4685.31 1685.9:2947.66:4541.42 +IOPATH A[12] O[14] 1868.95:3267.69:5034.49 1837.94:3213.47:4950.96 +IOPATH A[12] O[15] 1802.2:3150.99:4854.7 1810.43:3165.38:4876.87 +IOPATH A[12] O[16] 2202.37:3850.65:5932.65 2151.3:3761.37:5795.09 +IOPATH A[12] O[17] 2187.77:3825.12:5893.31 2202.07:3850.13:5931.85 +IOPATH A[12] O[18] 2206.17:3857.3:5942.9 2218.65:3879.12:5976.51 +IOPATH A[12] O[19] 2331.83:4077:6281.38 2334.41:4081.51:6288.34 +IOPATH A[12] O[20] 2473.46:4324.63:6662.91 2467.02:4313.37:6645.56 +IOPATH A[12] O[21] 2463.79:4307.72:6636.86 2452.41:4287.82:6606.19 +IOPATH A[12] O[22] 2492.96:4358.72:6715.43 2495.93:4363.91:6723.43 +IOPATH A[12] O[23] 2532.68:4428.18:6822.44 2530.14:4423.72:6815.58 +IOPATH A[12] O[24] 2658.94:4648.93:7162.55 2652.18:4637.1:7144.33 +IOPATH A[12] O[25] 2706.73:4732.48:7291.28 2707.86:4734.46:7294.33 +IOPATH A[12] O[26] 2754.97:4816.82:7421.23 2754.35:4815.74:7419.56 +IOPATH A[12] O[27] 2806.41:4906.77:7559.8 2804.41:4903.26:7554.4 +IOPATH A[12] O[28] 2814.72:4921.3:7582.19 2812.28:4917.03:7575.61 +IOPATH A[12] O[29] 2885.46:5044.97:7772.73 2888.9:5051:7782.01 +IOPATH A[12] O[30] 2963.1:5180.72:7981.88 2960.73:5176.58:7975.5 +IOPATH A[12] O[31] 3028.6:5295.25:8158.33 3012.93:5267.85:8116.11 +IOPATH A[13] ACCUMCO 680.521:1189.83:1833.16 812.816:1421.14:2189.53 +IOPATH A[13] CO 961.957:1681.9:2591.28 1081.26:1890.5:2912.66 +IOPATH A[13] O[13] 1562.5:2731.89:4208.99 1509.08:2638.5:4065.1 +IOPATH A[13] O[14] 1737.52:3037.91:4680.47 1709.13:2988.26:4603.97 +IOPATH A[13] O[15] 1718.49:3004.63:4629.19 1725.44:3016.78:4647.91 +IOPATH A[13] O[16] 2218.29:3878.49:5975.54 2167.22:3789.2:5837.98 +IOPATH A[13] O[17] 2203.69:3852.95:5936.2 2217.99:3877.96:5974.74 +IOPATH A[13] O[18] 2222.09:3885.13:5985.78 2234.57:3906.95:6019.4 +IOPATH A[13] O[19] 2347.75:4104.83:6324.27 2350.33:4109.35:6331.22 +IOPATH A[13] O[20] 2489.38:4352.46:6705.79 2482.94:4341.21:6688.45 +IOPATH A[13] O[21] 2479.71:4335.56:6679.75 2468.33:4315.65:6649.08 +IOPATH A[13] O[22] 2508.88:4386.55:6758.31 2511.85:4391.75:6766.32 +IOPATH A[13] O[23] 2548.6:4456.01:6865.33 2546.06:4451.56:6858.46 +IOPATH A[13] O[24] 2674.86:4676.77:7205.44 2668.1:4664.94:7187.22 +IOPATH A[13] O[25] 2722.65:4760.31:7334.16 2723.78:4762.3:7337.21 +IOPATH A[13] O[26] 2770.89:4844.66:7464.11 2770.27:4843.58:7462.44 +IOPATH A[13] O[27] 2822.33:4934.61:7602.69 2820.33:4931.1:7597.29 +IOPATH A[13] O[28] 2830.64:4949.13:7625.07 2828.2:4944.86:7618.49 +IOPATH A[13] O[29] 2901.38:5072.81:7815.61 2904.83:5078.83:7824.9 +IOPATH A[13] O[30] 2979.02:5208.56:8024.76 2976.65:5204.41:8018.38 +IOPATH A[13] O[31] 3044.52:5323.08:8201.21 3028.85:5295.68:8159 +IOPATH A[14] ACCUMCO 682.587:1193.44:1838.73 793.555:1387.46:2137.65 +IOPATH A[14] CO 964.024:1685.51:2596.85 1062:1856.82:2860.78 +IOPATH A[14] O[14] 1762.14:3080.95:4746.79 1733.75:3031.31:4670.3 +IOPATH A[14] O[15] 1734.22:3032.13:4671.57 1741.17:3044.28:4690.29 +IOPATH A[14] O[16] 2234.02:3905.99:6017.91 2182.95:3816.7:5880.35 +IOPATH A[14] O[17] 2219.42:3880.46:5978.57 2233.72:3905.47:6017.11 +IOPATH A[14] O[18] 2237.82:3912.64:6028.16 2250.3:3934.46:6061.77 +IOPATH A[14] O[19] 2363.48:4132.33:6366.64 2366.06:4136.85:6373.6 +IOPATH A[14] O[20] 2505.11:4379.97:6748.16 2498.67:4368.71:6730.82 +IOPATH A[14] O[21] 2495.44:4363.06:6722.12 2484.06:4343.16:6691.45 +IOPATH A[14] O[22] 2524.61:4414.06:6800.69 2527.58:4419.25:6808.69 +IOPATH A[14] O[23] 2564.33:4483.51:6907.7 2561.79:4479.06:6900.84 +IOPATH A[14] O[24] 2690.59:4704.27:7247.81 2683.83:4692.44:7229.59 +IOPATH A[14] O[25] 2738.38:4787.82:7376.54 2739.51:4789.8:7379.59 +IOPATH A[14] O[26] 2786.62:4872.16:7506.49 2786:4871.08:7504.82 +IOPATH A[14] O[27] 2838.07:4962.11:7645.06 2836.06:4958.6:7639.66 +IOPATH A[14] O[28] 2846.37:4976.64:7667.45 2843.93:4972.36:7660.87 +IOPATH A[14] O[29] 2917.11:5100.31:7857.99 2920.56:5106.34:7867.27 +IOPATH A[14] O[30] 2994.75:5236.06:8067.13 2992.38:5231.92:8060.76 +IOPATH A[14] O[31] 3060.25:5350.59:8243.59 3044.58:5323.19:8201.37 +IOPATH A[15] ACCUMCO 744.159:1301.1:2004.59 885.328:1547.92:2384.86 +IOPATH A[15] CO 1025.6:1793.16:2762.71 1153.78:2017.28:3107.99 +IOPATH A[15] O[15] 1970.12:3444.59:5307.03 1977.07:3456.74:5325.75 +IOPATH A[15] O[16] 2469.92:4318.44:6653.38 2418.86:4229.16:6515.82 +IOPATH A[15] O[17] 2455.32:4292.91:6614.04 2469.63:4317.93:6652.58 +IOPATH A[15] O[18] 2473.73:4325.09:6663.62 2486.21:4346.92:6697.24 +IOPATH A[15] O[19] 2599.38:4544.79:7002.11 2601.96:4549.31:7009.06 +IOPATH A[15] O[20] 2741.01:4792.42:7383.63 2734.58:4781.17:7366.29 +IOPATH A[15] O[21] 2731.35:4775.52:7357.59 2719.96:4755.62:7326.92 +IOPATH A[15] O[22] 2760.51:4826.51:7436.15 2763.48:4831.71:7444.16 +IOPATH A[15] O[23] 2800.24:4895.97:7543.17 2797.69:4891.52:7536.3 +IOPATH A[15] O[24] 2926.5:5116.73:7883.28 2919.73:5104.9:7865.06 +IOPATH A[15] O[25] 2974.28:5200.28:8012 2975.42:5202.26:8015.06 +IOPATH A[15] O[26] 3022.52:5284.62:8141.95 3021.91:5283.54:8140.29 +IOPATH A[15] O[27] 3073.97:5374.57:8280.53 3071.96:5371.06:8275.13 +IOPATH A[15] O[28] 3082.28:5389.09:8302.91 3079.83:5384.82:8296.33 +IOPATH A[15] O[29] 3153.01:5512.77:8493.46 3156.46:5518.79:8502.74 +IOPATH A[15] O[30] 3230.65:5648.52:8702.6 3228.29:5644.37:8696.22 +IOPATH A[15] O[31] 3296.16:5763.04:8879.06 3280.49:5735.64:8836.84 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[0] O[0] 827.028:1445.99:2227.81 891.957:1559.51:2402.72 +IOPATH B[0] O[1] 895.712:1566.07:2412.83 950.021:1661.03:2559.13 +IOPATH B[0] O[2] 1060.47:1854.13:2856.64 1093.4:1911.71:2945.35 +IOPATH B[0] O[3] 1148.98:2008.9:3095.09 1179.44:2062.15:3177.13 +IOPATH B[0] O[4] 1268.51:2217.88:3417.07 1285.83:2248.16:3463.72 +IOPATH B[0] O[5] 1397.09:2442.68:3763.41 1429.87:2500.01:3851.73 +IOPATH B[0] O[6] 1430.65:2501.36:3853.82 1470.45:2570.96:3961.05 +IOPATH B[0] O[7] 1478.05:2584.24:3981.51 1505.65:2632.49:4055.85 +IOPATH B[0] O[8] 2154.46:3766.88:5803.58 2148.28:3756.07:5786.94 +IOPATH B[0] O[9] 2241.63:3919.3:6038.42 2198.61:3844.08:5922.52 +IOPATH B[0] O[10] 2366.38:4137.41:6374.46 2341.28:4093.53:6306.86 +IOPATH B[0] O[11] 2463.92:4307.94:6637.2 2452.61:4288.18:6606.75 +IOPATH B[0] O[12] 2608.46:4560.67:7026.57 2587.35:4523.76:6969.7 +IOPATH B[0] O[13] 2685.43:4695.23:7233.89 2629.18:4596.9:7082.39 +IOPATH B[0] O[14] 2803.04:4900.88:7550.73 2768.3:4840.14:7457.14 +IOPATH B[0] O[15] 2736.3:4784.18:7370.93 2741.84:4793.87:7385.87 +IOPATH B[0] O[16] 2873.55:5024.15:7740.65 2836.4:4959.19:7640.57 +IOPATH B[0] O[17] 2848.23:4979.88:7672.45 2862.54:5004.9:7710.99 +IOPATH B[0] O[18] 2854.74:4991.26:7689.97 2867.21:5013.07:7723.58 +IOPATH B[0] O[19] 2980.39:5210.95:8028.45 2982.97:5215.47:8035.41 +IOPATH B[0] O[20] 2918.79:5103.25:7862.52 2907.77:5083.98:7832.83 +IOPATH B[0] O[21] 2909.12:5086.35:7836.48 2897.74:5066.44:7805.81 +IOPATH B[0] O[22] 2938.29:5137.34:7915.04 2941.26:5142.54:7923.05 +IOPATH B[0] O[23] 2978.02:5206.8:8022.06 2975.47:5202.34:8015.19 +IOPATH B[0] O[24] 2927.08:5117.75:7884.86 2943.71:5146.81:7929.64 +IOPATH B[0] O[25] 2967.16:5187.82:7992.81 2993.09:5233.15:8062.65 +IOPATH B[0] O[26] 3008.71:5260.47:8104.75 3032.91:5302.78:8169.94 +IOPATH B[0] O[27] 3054.73:5340.93:8228.71 3077.41:5380.58:8289.8 +IOPATH B[0] O[28] 3027.82:5293.88:8156.22 3037.54:5310.88:8182.41 +IOPATH B[0] O[29] 3098.56:5417.55:8346.76 3107.6:5433.37:8371.13 +IOPATH B[0] O[30] 3176.2:5553.3:8555.91 3173.83:5549.16:8549.53 +IOPATH B[0] O[31] 3241.7:5667.83:8732.36 3226.03:5640.43:8690.15 +IOPATH B[1] O[0] 872.538:1525.56:2350.41 917.418:1604.03:2471.3 +IOPATH B[1] O[1] 941.258:1645.71:2535.52 988.136:1727.67:2661.8 +IOPATH B[1] O[2] 1190.73:2081.89:3207.54 1223.67:2139.48:3296.27 +IOPATH B[1] O[3] 1279.25:2236.66:3446 1309.71:2289.91:3528.04 +IOPATH B[1] O[4] 1410.24:2465.68:3798.84 1427.56:2495.96:3845.49 +IOPATH B[1] O[5] 1538.81:2690.48:4145.19 1571.6:2747.8:4233.51 +IOPATH B[1] O[6] 1572.37:2749.16:4235.6 1612.18:2818.75:4342.82 +IOPATH B[1] O[7] 1619.78:2832.04:4363.29 1647.37:2880.28:4437.62 +IOPATH B[1] O[8] 2272.29:3972.9:6121 2266.11:3962.09:6104.35 +IOPATH B[1] O[9] 2359.46:4125.32:6355.83 2316.44:4050.1:6239.93 +IOPATH B[1] O[10] 2484.21:4343.43:6691.87 2459.12:4299.55:6624.26 +IOPATH B[1] O[11] 2581.75:4513.96:6954.61 2570.44:4494.2:6924.16 +IOPATH B[1] O[12] 2726.29:4766.69:7343.98 2705.18:4729.78:7287.11 +IOPATH B[1] O[13] 2803.26:4901.25:7551.3 2747.02:4802.92:7399.8 +IOPATH B[1] O[14] 2920.88:5106.9:7868.14 2886.13:5046.15:7774.55 +IOPATH B[1] O[15] 2854.13:4990.2:7688.34 2859.68:4999.89:7703.28 +IOPATH B[1] O[16] 2991.38:5230.16:8058.05 2954.23:5165.21:7957.98 +IOPATH B[1] O[17] 2966.06:5185.9:7989.86 2980.37:5210.91:8028.4 +IOPATH B[1] O[18] 2972.57:5197.27:8007.38 2985.04:5219.09:8040.99 +IOPATH B[1] O[19] 3098.22:5416.97:8345.86 3100.8:5421.49:8352.82 +IOPATH B[1] O[20] 3036.62:5309.27:8179.93 3025.6:5290:8150.24 +IOPATH B[1] O[21] 3026.96:5292.37:8153.89 3015.57:5272.46:8123.22 +IOPATH B[1] O[22] 3056.12:5343.36:8232.45 3059.09:5348.56:8240.46 +IOPATH B[1] O[23] 3095.85:5412.82:8339.47 3093.3:5408.36:8332.6 +IOPATH B[1] O[24] 3044.92:5323.77:8202.27 3061.54:5352.83:8247.05 +IOPATH B[1] O[25] 3084.99:5393.84:8310.22 3110.92:5439.17:8380.06 +IOPATH B[1] O[26] 3126.54:5466.49:8422.16 3150.74:5508.8:8487.35 +IOPATH B[1] O[27] 3172.56:5546.95:8546.12 3195.24:5586.6:8607.21 +IOPATH B[1] O[28] 3145.65:5499.9:8473.63 3155.37:5516.89:8499.82 +IOPATH B[1] O[29] 3216.39:5623.57:8664.17 3225.43:5639.39:8688.54 +IOPATH B[1] O[30] 3294.03:5759.32:8873.32 3291.66:5755.18:8866.94 +IOPATH B[1] O[31] 3359.53:5873.85:9049.77 3343.86:5846.45:9007.56 +IOPATH B[2] O[2] 1088.49:1903.12:2932.12 1121.43:1960.71:3020.85 +IOPATH B[2] O[3] 1177:2057.88:3170.55 1207.46:2111.13:3252.6 +IOPATH B[2] O[4] 1311.23:2292.56:3532.13 1328.54:2322.84:3578.78 +IOPATH B[2] O[5] 1439.8:2517.36:3878.47 1472.58:2574.69:3966.79 +IOPATH B[2] O[6] 1473.36:2576.04:3968.88 1513.17:2645.64:4076.1 +IOPATH B[2] O[7] 1520.76:2658.92:4096.57 1548.36:2707.17:4170.9 +IOPATH B[2] O[8] 2218.86:3879.48:5977.07 2212.68:3868.67:5960.42 +IOPATH B[2] O[9] 2306.03:4031.9:6211.9 2263.01:3956.68:6096.01 +IOPATH B[2] O[10] 2430.78:4250.01:6547.94 2405.68:4206.13:6480.33 +IOPATH B[2] O[11] 2528.32:4420.54:6810.68 2517.01:4400.78:6780.23 +IOPATH B[2] O[12] 2672.86:4673.27:7200.05 2651.75:4636.36:7143.19 +IOPATH B[2] O[13] 2749.83:4807.83:7407.37 2693.59:4709.5:7255.87 +IOPATH B[2] O[14] 2867.45:5013.48:7724.21 2832.7:4952.74:7630.62 +IOPATH B[2] O[15] 2800.7:4896.78:7544.42 2806.24:4906.47:7559.35 +IOPATH B[2] O[16] 2937.95:5136.75:7914.12 2900.8:5071.79:7814.05 +IOPATH B[2] O[17] 2912.63:5092.48:7845.93 2926.94:5117.5:7884.47 +IOPATH B[2] O[18] 2919.14:5103.85:7863.45 2931.61:5125.67:7897.06 +IOPATH B[2] O[19] 3044.79:5323.55:8201.93 3047.37:5328.07:8208.89 +IOPATH B[2] O[20] 2983.19:5215.85:8036 2972.17:5196.58:8006.31 +IOPATH B[2] O[21] 2973.53:5198.95:8009.96 2962.14:5179.04:7979.29 +IOPATH B[2] O[22] 3002.69:5249.94:8088.52 3005.66:5255.14:8096.53 +IOPATH B[2] O[23] 3042.42:5319.4:8195.54 3039.87:5314.94:8188.67 +IOPATH B[2] O[24] 2991.48:5230.35:8058.34 3008.11:5259.41:8103.12 +IOPATH B[2] O[25] 3031.56:5300.42:8166.29 3057.49:5345.75:8236.13 +IOPATH B[2] O[26] 3073.11:5373.07:8278.23 3097.31:5415.38:8343.42 +IOPATH B[2] O[27] 3119.13:5453.53:8402.19 3141.81:5493.18:8463.28 +IOPATH B[2] O[28] 3092.22:5406.48:8329.7 3101.94:5423.48:8355.89 +IOPATH B[2] O[29] 3162.96:5530.15:8520.24 3172:5545.97:8544.61 +IOPATH B[2] O[30] 3240.6:5665.9:8729.39 3238.23:5661.76:8723.01 +IOPATH B[2] O[31] 3306.1:5780.43:8905.84 3290.43:5753.03:8863.63 +IOPATH B[3] O[2] 983.211:1719.06:2648.53 1016.15:1776.65:2737.26 +IOPATH B[3] O[3] 1146.77:2005.04:3089.14 1164.54:2036.1:3136.99 +IOPATH B[3] O[4] 1346.84:2354.83:3628.06 1364.16:2385.11:3674.71 +IOPATH B[3] O[5] 1475.41:2579.63:3974.41 1508.2:2636.95:4062.72 +IOPATH B[3] O[6] 1508.97:2638.31:4064.81 1548.78:2707.91:4172.04 +IOPATH B[3] O[7] 1556.38:2721.19:4192.51 1583.97:2769.44:4266.84 +IOPATH B[3] O[8] 2254.47:3941.74:6073 2248.29:3930.94:6056.36 +IOPATH B[3] O[9] 2341.65:4094.17:6307.84 2298.62:4018.94:6191.94 +IOPATH B[3] O[10] 2466.4:4312.28:6643.88 2441.3:4268.4:6576.27 +IOPATH B[3] O[11] 2563.93:4482.81:6906.61 2552.63:4463.05:6876.17 +IOPATH B[3] O[12] 2708.48:4735.54:7295.99 2687.37:4698.63:7239.12 +IOPATH B[3] O[13] 2785.44:4870.1:7503.3 2729.2:4771.77:7351.81 +IOPATH B[3] O[14] 2903.06:5075.75:7820.14 2868.32:5015:7726.56 +IOPATH B[3] O[15] 2836.32:4959.05:7640.35 2841.86:4968.74:7655.28 +IOPATH B[3] O[16] 2973.56:5199.01:8010.06 2936.41:5134.06:7909.98 +IOPATH B[3] O[17] 2948.25:5154.75:7941.86 2962.55:5179.76:7980.4 +IOPATH B[3] O[18] 2954.75:5166.12:7959.39 2967.23:5187.94:7993 +IOPATH B[3] O[19] 3080.41:5385.82:8297.87 3082.99:5390.34:8304.83 +IOPATH B[3] O[20] 3018.81:5278.12:8131.94 3007.78:5258.85:8102.25 +IOPATH B[3] O[21] 3009.14:5261.22:8105.9 2997.75:5241.31:8075.23 +IOPATH B[3] O[22] 3038.3:5312.21:8184.46 3041.28:5317.41:8192.47 +IOPATH B[3] O[23] 3078.03:5381.67:8291.47 3075.48:5377.21:8284.61 +IOPATH B[3] O[24] 3027.1:5292.62:8154.28 3043.72:5321.68:8199.05 +IOPATH B[3] O[25] 3067.18:5362.69:8262.23 3093.1:5408.02:8332.07 +IOPATH B[3] O[26] 3108.73:5435.34:8374.17 3132.93:5477.65:8439.35 +IOPATH B[3] O[27] 3154.75:5515.8:8498.12 3177.43:5555.45:8559.22 +IOPATH B[3] O[28] 3141.11:5491.95:8461.39 3138.66:5487.68:8454.81 +IOPATH B[3] O[29] 3211.84:5615.62:8651.93 3215.29:5621.65:8661.21 +IOPATH B[3] O[30] 3289.48:5751.37:8861.08 3287.11:5747.23:8854.7 +IOPATH B[3] O[31] 3354.99:5865.9:9037.53 3339.32:5838.5:8995.31 +IOPATH B[4] O[4] 1185.03:2071.93:3192.19 1202.35:2102.21:3238.84 +IOPATH B[4] O[5] 1313.61:2296.73:3538.54 1346.39:2354.05:3626.86 +IOPATH B[4] O[6] 1347.17:2355.41:3628.95 1388.32:2427.36:3739.8 +IOPATH B[4] O[7] 1445.03:2526.51:3892.57 1460.14:2552.92:3933.25 +IOPATH B[4] O[8] 2142.98:3746.81:5772.66 2136.8:3736:5756.02 +IOPATH B[4] O[9] 2230.15:3899.23:6007.49 2187.13:3824:5891.6 +IOPATH B[4] O[10] 2354.9:4117.34:6343.54 2329.8:4073.46:6275.93 +IOPATH B[4] O[11] 2452.44:4287.87:6606.27 2441.13:4268.11:6575.82 +IOPATH B[4] O[12] 2596.98:4540.6:6995.65 2575.87:4503.69:6938.78 +IOPATH B[4] O[13] 2673.94:4675.16:7202.96 2617.7:4576.83:7051.47 +IOPATH B[4] O[14] 2791.57:4880.81:7519.8 2756.82:4820.06:7426.22 +IOPATH B[4] O[15] 2724.82:4764.11:7340.01 2730.36:4773.8:7354.94 +IOPATH B[4] O[16] 2862.07:5004.07:7709.72 2824.92:4939.12:7609.64 +IOPATH B[4] O[17] 2836.75:4959.81:7641.52 2851.06:4984.82:7680.06 +IOPATH B[4] O[18] 2843.25:4971.18:7659.04 2855.73:4993:7692.66 +IOPATH B[4] O[19] 2968.91:5190.88:7997.53 2971.49:5195.4:8004.49 +IOPATH B[4] O[20] 2907.31:5083.18:7831.6 2896.29:5063.91:7801.9 +IOPATH B[4] O[21] 2897.64:5066.28:7805.55 2886.26:5046.37:7774.89 +IOPATH B[4] O[22] 2926.81:5117.27:7884.12 2929.78:5122.47:7892.12 +IOPATH B[4] O[23] 2966.54:5186.73:7991.13 2963.99:5182.27:7984.27 +IOPATH B[4] O[24] 2915.6:5097.68:7853.93 2932.23:5126.74:7898.71 +IOPATH B[4] O[25] 2955.68:5167.75:7961.89 2981.61:5213.08:8031.73 +IOPATH B[4] O[26] 2997.23:5240.4:8073.82 3021.43:5282.71:8139.01 +IOPATH B[4] O[27] 3043.25:5320.86:8197.78 3065.93:5360.51:8258.88 +IOPATH B[4] O[28] 3016.34:5273.81:8125.29 3026.06:5290.81:8151.48 +IOPATH B[4] O[29] 3087.07:5397.48:8315.84 3096.12:5413.3:8340.2 +IOPATH B[4] O[30] 3164.72:5533.23:8524.98 3162.35:5529.09:8518.6 +IOPATH B[4] O[31] 3230.22:5647.76:8701.44 3214.55:5620.36:8659.22 +IOPATH B[5] O[4] 1171.39:2048.08:3155.45 1188.71:2078.35:3202.1 +IOPATH B[5] O[5] 1299.96:2272.87:3501.79 1332.75:2330.2:3590.11 +IOPATH B[5] O[6] 1391.09:2432.2:3747.26 1435.2:2509.32:3866.07 +IOPATH B[5] O[7] 1491.51:2607.77:4017.76 1506.61:2634.18:4058.45 +IOPATH B[5] O[8] 2189.45:3828.07:5897.86 2183.27:3817.27:5881.22 +IOPATH B[5] O[9] 2276.63:3980.49:6132.69 2233.61:3905.27:6016.8 +IOPATH B[5] O[10] 2401.38:4198.6:6468.73 2376.28:4154.72:6401.13 +IOPATH B[5] O[11] 2498.91:4369.13:6731.47 2487.61:4349.37:6701.02 +IOPATH B[5] O[12] 2643.46:4621.86:7120.85 2622.35:4584.95:7063.98 +IOPATH B[5] O[13] 2720.42:4756.42:7328.16 2664.18:4658.09:7176.66 +IOPATH B[5] O[14] 2838.04:4962.07:7645 2803.3:4901.33:7551.42 +IOPATH B[5] O[15] 2771.3:4845.37:7465.21 2776.84:4855.06:7480.14 +IOPATH B[5] O[16] 2957.06:5170.16:7965.6 2919.91:5105.2:7865.53 +IOPATH B[5] O[17] 2931.74:5125.89:7897.41 2946.05:5150.91:7935.95 +IOPATH B[5] O[18] 2938.25:5137.27:7914.93 2950.72:5159.08:7948.54 +IOPATH B[5] O[19] 3063.9:5356.96:8253.41 3066.49:5361.48:8260.37 +IOPATH B[5] O[20] 3002.3:5249.26:8087.48 2991.28:5229.99:8057.79 +IOPATH B[5] O[21] 2992.64:5232.36:8061.44 2981.25:5212.46:8030.77 +IOPATH B[5] O[22] 3021.8:5283.35:8140 3024.77:5288.55:8148.01 +IOPATH B[5] O[23] 3061.53:5352.81:8247.02 3058.98:5348.36:8240.15 +IOPATH B[5] O[24] 3010.6:5263.76:8109.82 3027.22:5292.83:8154.6 +IOPATH B[5] O[25] 3050.67:5333.83:8217.77 3076.6:5379.16:8287.61 +IOPATH B[5] O[26] 3092.22:5406.48:8329.71 3116.42:5448.8:8394.9 +IOPATH B[5] O[27] 3138.24:5486.94:8453.67 3160.92:5526.6:8514.76 +IOPATH B[5] O[28] 3111.33:5439.89:8381.18 3121.05:5456.89:8407.37 +IOPATH B[5] O[29] 3182.07:5563.57:8571.72 3191.11:5579.38:8596.09 +IOPATH B[5] O[30] 3259.71:5699.31:8780.87 3257.34:5695.17:8774.49 +IOPATH B[5] O[31] 3325.21:5813.84:8957.32 3309.54:5786.44:8915.11 +IOPATH B[6] O[6] 1172.77:2050.49:3159.17 1216.88:2127.6:3277.97 +IOPATH B[6] O[7] 1235.74:2160.58:3328.79 1264.36:2210.63:3405.89 +IOPATH B[6] O[8] 1933.69:3380.88:5208.88 1927.51:3370.08:5192.24 +IOPATH B[6] O[9] 2020.86:3533.3:5443.72 1977.84:3458.08:5327.82 +IOPATH B[6] O[10] 2148.43:3756.34:5787.35 2123.33:3712.46:5719.75 +IOPATH B[6] O[11] 2245.97:3926.87:6050.09 2234.66:3907.11:6019.64 +IOPATH B[6] O[12] 2390.51:4179.6:6439.46 2366.58:4137.76:6375 +IOPATH B[6] O[13] 2467.47:4314.16:6646.78 2409.54:4212.87:6490.72 +IOPATH B[6] O[14] 2585.09:4519.81:6963.62 2550.35:4459.07:6870.03 +IOPATH B[6] O[15] 2518.35:4403.11:6783.83 2523.89:4412.8:6798.76 +IOPATH B[6] O[16] 2797.32:4890.87:7535.3 2760.17:4825.91:7435.22 +IOPATH B[6] O[17] 2772:4846.6:7467.1 2786.31:4871.62:7505.64 +IOPATH B[6] O[18] 2778.51:4857.97:7484.62 2790.98:4879.79:7518.24 +IOPATH B[6] O[19] 2904.16:5077.67:7823.11 2906.74:5082.19:7830.07 +IOPATH B[6] O[20] 2842.56:4969.97:7657.18 2831.54:4950.7:7627.48 +IOPATH B[6] O[21] 2832.89:4953.07:7631.14 2821.51:4933.16:7600.47 +IOPATH B[6] O[22] 2862.06:5004.06:7709.7 2865.03:5009.26:7717.71 +IOPATH B[6] O[23] 2901.79:5073.52:7816.71 2899.24:5069.06:7809.85 +IOPATH B[6] O[24] 2850.85:4984.47:7679.52 2867.48:5013.53:7724.29 +IOPATH B[6] O[25] 2890.93:5054.54:7787.47 2916.86:5099.87:7857.31 +IOPATH B[6] O[26] 2932.48:5127.19:7899.4 2956.68:5169.5:7964.59 +IOPATH B[6] O[27] 2978.5:5207.65:8023.36 3001.18:5247.3:8084.46 +IOPATH B[6] O[28] 2951.59:5160.6:7950.88 2961.31:5177.6:7977.06 +IOPATH B[6] O[29] 3022.33:5284.27:8141.42 3031.37:5300.09:8165.79 +IOPATH B[6] O[30] 3099.97:5420.02:8350.56 3097.6:5415.88:8344.19 +IOPATH B[6] O[31] 3165.47:5534.55:8527.02 3149.8:5507.15:8484.8 +IOPATH B[7] O[6] 1120.09:1958.39:3017.27 1164.2:2035.5:3136.07 +IOPATH B[7] O[7] 1183.09:2068.53:3186.95 1211.68:2118.52:3263.99 +IOPATH B[7] O[8] 1957.36:3422.28:5272.66 1943.98:3398.88:5236.62 +IOPATH B[7] O[9] 2099.54:3670.86:5655.65 2046.99:3578.98:5514.09 +IOPATH B[7] O[10] 2242.6:3920.99:6041.02 2217.5:3877.11:5973.42 +IOPATH B[7] O[11] 2340.14:4091.52:6303.76 2328.83:4071.76:6273.31 +IOPATH B[7] O[12] 2484.68:4344.25:6693.13 2459.94:4300.98:6626.48 +IOPATH B[7] O[13] 2561.64:4478.81:6900.45 2503.71:4377.52:6744.39 +IOPATH B[7] O[14] 2679.26:4684.46:7217.29 2644.52:4623.72:7123.71 +IOPATH B[7] O[15] 2612.52:4567.76:7037.5 2618.06:4577.45:7052.43 +IOPATH B[7] O[16] 2891.49:5055.51:7788.97 2854.34:4990.56:7688.9 +IOPATH B[7] O[17] 2866.17:5011.25:7720.78 2880.48:5036.26:7759.31 +IOPATH B[7] O[18] 2872.68:5022.62:7738.3 2885.15:5044.44:7771.91 +IOPATH B[7] O[19] 2998.33:5242.32:8076.78 3000.91:5246.84:8083.74 +IOPATH B[7] O[20] 2936.73:5134.62:7910.85 2925.71:5115.35:7881.15 +IOPATH B[7] O[21] 2927.06:5117.72:7884.81 2915.68:5097.81:7854.14 +IOPATH B[7] O[22] 2956.23:5168.71:7963.37 2959.2:5173.91:7971.38 +IOPATH B[7] O[23] 2995.96:5238.17:8070.39 2993.41:5233.71:8063.52 +IOPATH B[7] O[24] 2945.03:5149.12:7933.19 2961.65:5178.18:7977.97 +IOPATH B[7] O[25] 2985.1:5219.19:8041.14 3011.03:5264.52:8110.98 +IOPATH B[7] O[26] 3026.65:5291.84:8153.08 3050.85:5334.15:8218.26 +IOPATH B[7] O[27] 3072.67:5372.3:8277.03 3095.35:5411.95:8338.13 +IOPATH B[7] O[28] 3045.76:5325.25:8204.55 3055.48:5342.25:8230.74 +IOPATH B[7] O[29] 3116.5:5448.92:8395.09 3125.54:5464.74:8419.46 +IOPATH B[7] O[30] 3194.14:5584.67:8604.24 3191.77:5580.53:8597.86 +IOPATH B[7] O[31] 3259.64:5699.2:8780.69 3243.97:5671.8:8738.47 +IOPATH B[8] O[8] 1122.72:1962.98:3024.34 1108.85:1938.73:2986.97 +IOPATH B[8] O[9] 1275.18:2229.55:3435.04 1212.12:2119.28:3265.15 +IOPATH B[8] O[10] 1526.29:2668.59:4111.47 1498.04:2619.19:4035.36 +IOPATH B[8] O[11] 1652.99:2890.1:4452.75 1629.41:2848.88:4389.23 +IOPATH B[8] O[12] 1789.44:3128.68:4820.32 1784.9:3120.74:4808.09 +IOPATH B[8] O[13] 1866.41:3263.26:5027.66 1826.73:3193.88:4920.78 +IOPATH B[8] O[14] 1994.07:3486.46:5371.55 1960.94:3428.54:5282.31 +IOPATH B[8] O[15] 1927.33:3369.77:5191.76 1932.87:3379.46:5206.69 +IOPATH B[8] O[16] 2275.91:3979.23:6130.76 2224.83:3889.92:5993.16 +IOPATH B[8] O[17] 2261.31:3953.7:6091.42 2275.61:3978.71:6129.96 +IOPATH B[8] O[18] 2267.81:3965.07:6108.95 2280.29:3986.89:6142.56 +IOPATH B[8] O[19] 2393.47:4184.77:6447.43 2396.05:4189.29:6454.39 +IOPATH B[8] O[20] 2530.26:4423.94:6815.92 2523.82:4412.67:6798.55 +IOPATH B[8] O[21] 2520.6:4407.04:6789.88 2509.21:4387.13:6759.21 +IOPATH B[8] O[22] 2549.76:4458.03:6868.44 2552.73:4463.23:6876.44 +IOPATH B[8] O[23] 2589.49:4527.49:6975.46 2586.94:4523.04:6968.59 +IOPATH B[8] O[24] 2651.02:4635.07:7141.2 2644.25:4623.24:7122.97 +IOPATH B[8] O[25] 2698.8:4718.62:7269.92 2699.93:4720.6:7272.97 +IOPATH B[8] O[26] 2747.04:4802.96:7399.87 2746.42:4801.88:7398.2 +IOPATH B[8] O[27] 2798.49:4892.91:7538.45 2796.48:4889.4:7533.04 +IOPATH B[8] O[28] 2852.94:4988.12:7685.14 2850.5:4983.85:7678.56 +IOPATH B[8] O[29] 2923.68:5111.8:7875.69 2927.13:5117.82:7884.97 +IOPATH B[8] O[30] 3001.32:5247.54:8084.83 2998.95:5243.4:8078.45 +IOPATH B[8] O[31] 3066.82:5362.07:8261.29 3051.15:5334.67:8219.07 +IOPATH B[9] O[8] 1242.48:2172.36:3346.93 1226.58:2144.57:3304.12 +IOPATH B[9] O[9] 1394.99:2439.01:3757.76 1331.92:2328.74:3587.86 +IOPATH B[9] O[10] 1669.97:2919.8:4498.5 1641.72:2870.4:4422.39 +IOPATH B[9] O[11] 1796.67:3141.33:4839.8 1773.1:3100.1:4776.29 +IOPATH B[9] O[12] 1933.13:3379.9:5207.38 1928.59:3371.97:5195.15 +IOPATH B[9] O[13] 2025.64:3541.65:5456.59 1970.42:3445.11:5307.84 +IOPATH B[9] O[14] 2155.28:3768.31:5805.79 2120.54:3707.57:5712.22 +IOPATH B[9] O[15] 2088.53:3651.61:5626 2094.07:3661.31:5640.93 +IOPATH B[9] O[16] 2437.14:4261.13:6565.07 2386.06:4171.82:6427.47 +IOPATH B[9] O[17] 2422.54:4235.6:6525.74 2436.84:4260.61:6564.27 +IOPATH B[9] O[18] 2429.04:4246.97:6543.26 2441.52:4268.79:6576.87 +IOPATH B[9] O[19] 2554.7:4466.67:6881.74 2557.28:4471.18:6888.7 +IOPATH B[9] O[20] 2691.49:4705.84:7250.23 2685.05:4694.57:7232.87 +IOPATH B[9] O[21] 2681.82:4688.94:7224.19 2670.44:4669.03:7193.52 +IOPATH B[9] O[22] 2710.99:4739.93:7302.76 2713.96:4745.13:7310.76 +IOPATH B[9] O[23] 2750.72:4809.39:7409.77 2748.17:4804.93:7402.91 +IOPATH B[9] O[24] 2812.24:4916.96:7575.51 2805.48:4905.13:7557.28 +IOPATH B[9] O[25] 2860.03:5000.51:7704.23 2861.16:5002.49:7707.28 +IOPATH B[9] O[26] 2908.27:5084.86:7834.18 2907.65:5083.77:7832.51 +IOPATH B[9] O[27] 2959.72:5174.8:7972.76 2957.71:5171.3:7967.36 +IOPATH B[9] O[28] 3014.17:5270.02:8119.46 3011.73:5265.75:8112.88 +IOPATH B[9] O[29] 3084.91:5393.69:8310 3088.36:5399.72:8319.29 +IOPATH B[9] O[30] 3162.55:5529.44:8519.15 3160.18:5525.3:8512.77 +IOPATH B[9] O[31] 3228.05:5643.97:8695.6 3212.38:5616.57:8653.38 +IOPATH B[10] O[10] 1542.67:2697.22:4155.58 1514.41:2647.82:4079.46 +IOPATH B[10] O[11] 1669.36:2918.73:4496.86 1645.78:2877.51:4433.34 +IOPATH B[10] O[12] 1822.39:3186.3:4909.09 1801.28:3149.37:4852.2 +IOPATH B[10] O[13] 1945.55:3401.62:5240.84 1874.62:3277.61:5049.78 +IOPATH B[10] O[14] 2075.18:3628.27:5590.04 2040.44:3567.54:5496.46 +IOPATH B[10] O[15] 2008.44:3511.58:5410.25 2013.98:3521.27:5425.18 +IOPATH B[10] O[16] 2356.73:4120.54:6348.46 2305.65:4031.23:6210.87 +IOPATH B[10] O[17] 2342.13:4095.01:6309.13 2356.43:4120.02:6347.66 +IOPATH B[10] O[18] 2348.63:4106.38:6326.65 2361.11:4128.2:6360.26 +IOPATH B[10] O[19] 2474.29:4326.08:6665.13 2476.87:4330.59:6672.09 +IOPATH B[10] O[20] 2611.08:4565.25:7033.62 2604.63:4553.98:7016.26 +IOPATH B[10] O[21] 2601.41:4548.34:7007.58 2590.03:4528.44:6976.91 +IOPATH B[10] O[22] 2630.58:4599.34:7086.15 2633.55:4604.53:7094.15 +IOPATH B[10] O[23] 2670.31:4668.8:7193.16 2667.76:4664.34:7186.29 +IOPATH B[10] O[24] 2731.83:4776.37:7358.9 2725.07:4764.54:7340.68 +IOPATH B[10] O[25] 2779.62:4859.92:7487.62 2780.75:4861.9:7490.67 +IOPATH B[10] O[26] 2827.86:4944.26:7617.57 2827.24:4943.18:7615.9 +IOPATH B[10] O[27] 2879.3:5034.21:7756.15 2877.3:5030.7:7750.75 +IOPATH B[10] O[28] 2933.76:5129.43:7902.85 2931.32:5125.16:7896.27 +IOPATH B[10] O[29] 3004.5:5253.1:8093.39 3007.94:5259.13:8102.68 +IOPATH B[10] O[30] 3082.14:5388.85:8302.54 3079.77:5384.71:8296.16 +IOPATH B[10] O[31] 3147.64:5503.38:8478.99 3131.97:5475.98:8436.77 +IOPATH B[11] O[10] 1515.41:2649.56:4082.14 1487.15:2600.16:4006.03 +IOPATH B[11] O[11] 1729.43:3023.75:4658.66 1705.85:2982.53:4595.15 +IOPATH B[11] O[12] 1898.14:3318.73:5113.13 1861.34:3254.4:5014.01 +IOPATH B[11] O[13] 2021.24:3533.96:5444.73 1950.31:3409.95:5253.67 +IOPATH B[11] O[14] 2150.87:3760.61:5793.93 2116.13:3699.87:5700.35 +IOPATH B[11] O[15] 2084.13:3643.92:5614.14 2089.67:3653.61:5629.07 +IOPATH B[11] O[16] 2431.73:4251.68:6550.51 2380.65:4162.37:6412.91 +IOPATH B[11] O[17] 2417.13:4226.14:6511.17 2431.44:4251.16:6549.71 +IOPATH B[11] O[18] 2423.64:4237.52:6528.69 2436.11:4259.33:6562.31 +IOPATH B[11] O[19] 2549.29:4457.21:6867.18 2551.88:4461.73:6874.14 +IOPATH B[11] O[20] 2686.09:4696.39:7235.67 2679.64:4685.11:7218.3 +IOPATH B[11] O[21] 2676.42:4679.48:7209.62 2665.03:4659.58:7178.96 +IOPATH B[11] O[22] 2705.58:4730.47:7288.19 2708.55:4735.67:7296.19 +IOPATH B[11] O[23] 2745.31:4799.93:7395.2 2742.76:4795.48:7388.34 +IOPATH B[11] O[24] 2806.84:4907.51:7560.94 2800.07:4895.68:7542.72 +IOPATH B[11] O[25] 2854.62:4991.06:7689.67 2855.76:4993.04:7692.72 +IOPATH B[11] O[26] 2902.86:5075.4:7819.61 2902.24:5074.32:7817.95 +IOPATH B[11] O[27] 2954.31:5165.35:7958.19 2952.3:5161.84:7952.79 +IOPATH B[11] O[28] 3008.77:5260.56:8104.89 3006.32:5256.29:8098.31 +IOPATH B[11] O[29] 3079.5:5384.24:8295.43 3082.95:5390.27:8304.72 +IOPATH B[11] O[30] 3157.14:5519.99:8504.58 3154.77:5515.85:8498.2 +IOPATH B[11] O[31] 3222.65:5634.51:8681.03 3206.97:5607.11:8638.82 +IOPATH B[12] O[12] 1689.88:2954.6:4552.13 1647.22:2880.02:4437.21 +IOPATH B[12] O[13] 1812.97:3169.83:4883.72 1742.05:3045.82:4692.67 +IOPATH B[12] O[14] 1958.74:3424.69:5276.37 1911.01:3341.23:5147.79 +IOPATH B[12] O[15] 1957.94:3423.28:5274.21 1951.16:3411.43:5255.95 +IOPATH B[12] O[16] 2308.55:4036.3:6218.68 2257.47:3946.99:6081.08 +IOPATH B[12] O[17] 2293.95:4010.77:6179.34 2308.25:4035.78:6217.88 +IOPATH B[12] O[18] 2300.45:4022.14:6196.86 2312.93:4043.95:6230.47 +IOPATH B[12] O[19] 2426.11:4241.84:6535.35 2428.69:4246.35:6542.3 +IOPATH B[12] O[20] 2562.9:4481.01:6903.84 2556.45:4469.73:6886.47 +IOPATH B[12] O[21] 2553.23:4464.1:6877.79 2541.85:4444.2:6847.12 +IOPATH B[12] O[22] 2582.4:4515.1:6956.36 2585.37:4520.29:6964.36 +IOPATH B[12] O[23] 2622.12:4584.55:7063.37 2619.58:4580.1:7056.51 +IOPATH B[12] O[24] 2683.65:4692.13:7229.11 2676.89:4680.3:7210.89 +IOPATH B[12] O[25] 2731.44:4775.68:7357.84 2732.57:4777.66:7360.89 +IOPATH B[12] O[26] 2779.68:4860.03:7487.78 2779.06:4858.94:7486.12 +IOPATH B[12] O[27] 2831.12:4949.97:7626.36 2829.12:4946.46:7620.96 +IOPATH B[12] O[28] 2885.58:5045.19:7773.06 2883.14:5040.92:7766.48 +IOPATH B[12] O[29] 2956.32:5168.86:7963.6 2959.76:5174.89:7972.89 +IOPATH B[12] O[30] 3033.96:5304.61:8172.75 3031.59:5300.47:8166.37 +IOPATH B[12] O[31] 3099.46:5419.14:8349.2 3083.79:5391.74:8306.99 +IOPATH B[13] O[12] 1691.26:2957.03:4555.86 1648.6:2882.44:4440.94 +IOPATH B[13] O[13] 1814.42:3172.35:4887.6 1743.49:3048.35:4696.55 +IOPATH B[13] O[14] 1960.63:3427.99:5281.46 1912.84:3344.43:5152.73 +IOPATH B[13] O[15] 1961.81:3430.06:5284.66 1955.04:3418.21:5266.4 +IOPATH B[13] O[16] 2312.43:4043.08:6229.12 2261.35:3953.77:6091.53 +IOPATH B[13] O[17] 2297.83:4017.55:6189.79 2312.13:4042.56:6228.32 +IOPATH B[13] O[18] 2304.33:4028.92:6207.31 2316.81:4050.74:6240.92 +IOPATH B[13] O[19] 2429.99:4248.62:6545.79 2432.57:4253.13:6552.75 +IOPATH B[13] O[20] 2566.78:4487.79:6914.28 2560.33:4476.52:6896.92 +IOPATH B[13] O[21] 2557.11:4470.88:6888.24 2545.73:4450.98:6857.57 +IOPATH B[13] O[22] 2586.28:4521.88:6966.8 2589.25:4527.07:6974.81 +IOPATH B[13] O[23] 2626:4591.34:7073.82 2623.45:4586.88:7066.95 +IOPATH B[13] O[24] 2708.34:4735.29:7295.61 2701.57:4723.47:7277.39 +IOPATH B[13] O[25] 2756.12:4818.84:7424.34 2757.26:4820.82:7427.39 +IOPATH B[13] O[26] 2804.37:4903.19:7554.28 2803.75:4902.1:7552.62 +IOPATH B[13] O[27] 2855.81:4993.13:7692.86 2853.8:4989.63:7687.46 +IOPATH B[13] O[28] 2910.27:5088.35:7839.56 2907.82:5084.08:7832.98 +IOPATH B[13] O[29] 2981:5212.02:8030.1 2984.45:5218.05:8039.39 +IOPATH B[13] O[30] 3058.64:5347.77:8239.25 3056.28:5343.63:8232.87 +IOPATH B[13] O[31] 3124.15:5462.3:8415.7 3108.48:5434.9:8373.49 +IOPATH B[14] O[14] 1732.8:3029.65:4667.75 1685.01:2946.09:4539.01 +IOPATH B[14] O[15] 1701.84:2975.52:4584.35 1695.06:2963.67:4566.09 +IOPATH B[14] O[16] 2052.45:3588.54:5528.82 2001.37:3499.23:5391.22 +IOPATH B[14] O[17] 2037.85:3563.01:5489.48 2052.16:3588.02:5528.02 +IOPATH B[14] O[18] 2044.36:3574.38:5507.01 2056.83:3596.2:5540.62 +IOPATH B[14] O[19] 2170.01:3794.08:5845.49 2172.6:3798.59:5852.45 +IOPATH B[14] O[20] 2306.81:4033.25:6213.98 2300.36:4021.98:6196.61 +IOPATH B[14] O[21] 2297.14:4016.35:6187.94 2285.75:3996.44:6157.27 +IOPATH B[14] O[22] 2326.3:4067.34:6266.5 2329.27:4072.53:6274.51 +IOPATH B[14] O[23] 2366.03:4136.8:6373.52 2363.48:4132.34:6366.65 +IOPATH B[14] O[24] 2556.97:4470.65:6887.87 2550.21:4458.82:6869.65 +IOPATH B[14] O[25] 2604.76:4554.19:7016.59 2605.89:4556.17:7019.64 +IOPATH B[14] O[26] 2653:4638.54:7146.54 2652.38:4637.45:7144.87 +IOPATH B[14] O[27] 2704.44:4728.48:7285.12 2702.44:4724.98:7279.72 +IOPATH B[14] O[28] 2758.9:4823.7:7431.82 2756.46:4819.43:7425.24 +IOPATH B[14] O[29] 2829.64:4947.37:7622.36 2833.08:4953.4:7631.65 +IOPATH B[14] O[30] 2907.28:5083.12:7831.51 2904.91:5078.98:7825.13 +IOPATH B[14] O[31] 2972.78:5197.65:8007.96 2957.11:5170.25:7965.74 +IOPATH B[15] O[14] 1815.65:3174.51:4890.92 1767.86:3090.95:4762.19 +IOPATH B[15] O[15] 1784.72:3120.42:4807.6 1777.94:3108.57:4789.34 +IOPATH B[15] O[16] 2179.16:3810.06:5870.12 2128.08:3720.76:5732.53 +IOPATH B[15] O[17] 2204.63:3854.6:5938.73 2205.39:3855.93:5940.79 +IOPATH B[15] O[18] 2222.94:3886.61:5988.05 2235.42:3908.43:6021.67 +IOPATH B[15] O[19] 2350.51:4109.65:6331.7 2353.09:4114.17:6338.65 +IOPATH B[15] O[20] 2501.78:4374.14:6739.19 2495.33:4362.87:6721.83 +IOPATH B[15] O[21] 2492.11:4357.24:6713.15 2480.73:4337.34:6682.48 +IOPATH B[15] O[22] 2521.28:4408.23:6791.72 2524.25:4413.43:6799.72 +IOPATH B[15] O[23] 2561:4477.69:6898.73 2558.46:4473.24:6891.87 +IOPATH B[15] O[24] 2778.76:4858.42:7485.31 2771.99:4846.59:7467.08 +IOPATH B[15] O[25] 2826.54:4941.97:7614.03 2827.68:4943.94:7617.08 +IOPATH B[15] O[26] 2874.79:5026.31:7743.98 2874.17:5025.23:7742.31 +IOPATH B[15] O[27] 2926.23:5116.26:7882.56 2924.22:5112.75:7877.15 +IOPATH B[15] O[28] 2980.69:5211.47:8029.26 2978.25:5207.2:8022.67 +IOPATH B[15] O[29] 3051.42:5335.15:8219.8 3054.87:5341.17:8229.08 +IOPATH B[15] O[30] 3129.06:5470.89:8428.94 3126.7:5466.75:8422.57 +IOPATH B[15] O[31] 3194.57:5585.42:8605.4 3178.9:5558.02:8563.18 CELL SB_MAC16_MUL_S_16X16_IM_BYPASS -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 -HOLD negedge:D[9] posedge:CLK 172.676:172.676:172.676 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 -HOLD negedge:OHOLDBOT posedge:CLK 149.49:149.49:149.49 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 -HOLD posedge:D[9] posedge:CLK 133.831:133.831:133.831 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.24:181.24:181.24 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9992:171.343:263.986 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5242:158.274:243.85 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0974:155.779:240.007 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.9005:148.441:228.701 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5005:128.509:197.993 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6549:167.244:257.671 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3086:136.916:210.944 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.8013:115.048:177.253 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1112:155.803:240.044 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.515:147.767:227.663 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -10259,345 +10259,345 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.51:-22.51:-22.51 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.687:-49.687:-49.687 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 -SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 -SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 -SETUP negedge:D[9] posedge:CLK 147.19:147.19:147.19 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 -SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 -SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 -SETUP negedge:OHOLDBOT posedge:CLK 313.067:313.067:313.067 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -26:-26:-26 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.874:1.874:1.874 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 -SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 -SETUP posedge:D[9] posedge:CLK 141.251:141.251:141.251 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 -SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 -SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 -SETUP posedge:OHOLDBOT posedge:CLK 254.814:254.814:254.814 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.93:2654.93:2654.93 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.92:3200.92:3200.92 -IOPATH posedge:CLK O[0] 1027.43:1027.43:1027.43 1150.96:1150.96:1150.96 -IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 -IOPATH posedge:CLK O[2] 1058.18:1058.18:1058.18 1161.28:1161.28:1161.28 -IOPATH posedge:CLK O[3] 1044.43:1044.43:1044.43 1109.02:1109.02:1109.02 -IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 -IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 -IOPATH posedge:CLK O[6] 998.842:998.842:998.842 1063.55:1063.55:1063.55 -IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 -IOPATH posedge:CLK O[8] 1372.68:1372.68:1372.68 1362.75:1362.75:1362.75 -IOPATH posedge:CLK O[9] 1328.97:1328.97:1328.97 1252.16:1252.16:1252.16 -IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 -IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 -IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 -IOPATH posedge:CLK O[13] 1367:1367:1367 1259.97:1259.97:1259.97 -IOPATH posedge:CLK O[14] 1489.01:1489.01:1489.01 1425.49:1425.49:1425.49 -IOPATH posedge:CLK O[15] 1196.78:1196.78:1196.78 1196.78:1196.78:1196.78 -IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 -IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 -IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 -IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 -IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 -IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 -IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 -IOPATH posedge:CLK O[24] 1175.76:1175.76:1175.76 1159.14:1159.14:1159.14 -IOPATH posedge:CLK O[25] 1139.71:1139.71:1139.71 1143.02:1143.02:1143.02 -IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 -IOPATH posedge:CLK O[27] 1173.94:1173.94:1173.94 1169.11:1169.11:1169.11 -IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 -IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 -IOPATH posedge:CLK O[30] 1229.97:1229.97:1229.97 1238.61:1238.61:1238.61 -IOPATH posedge:CLK O[31] 1257.99:1257.99:1257.99 1290.09:1290.09:1290.09 -IOPATH posedge:CLK SIGNEXTOUT 1465.55:1465.55:1465.55 1431.36:1431.36:1431.36 +SETUP negedge:A[0] posedge:CLK -38.0891:-66.5954:-102.603 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0676:-19.3507:-29.8135 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7978:-46.8535:-72.1867 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4298:-42.7135:-65.8081 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7996:-18.8822:-29.0916 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.864:122.151:188.197 +SETUP negedge:C[15] posedge:CLK 67.4627:117.953:181.728 +SETUP negedge:CHOLD posedge:CLK 96.6446:168.975:260.337 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.3696:126.532:194.946 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0887:94.5693:145.702 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.156:206.585:318.283 +SETUP negedge:OHOLDBOT posedge:CLK 153.927:269.128:414.643 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7835:-22.3509:-34.4358 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.074:-31.6008:-48.6869 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.921399:1.61099:2.48203 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8087:102.822:158.417 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.3485:114.256:176.033 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.4496:121.426:187.08 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0112:113.666:175.125 +SETUP posedge:D[13] posedge:CLK 51.5379:90.1094:138.831 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.286:219.051:337.489 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.36:2282.32:3516.34 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.81:2751.67:4239.47 +IOPATH posedge:CLK O[0] 505.159:883.227:1360.78 565.898:989.423:1524.39 +IOPATH posedge:CLK O[1] 512.03:895.24:1379.29 555.639:971.486:1496.76 +IOPATH posedge:CLK O[2] 520.279:909.662:1401.51 570.974:998.298:1538.07 +IOPATH posedge:CLK O[3] 513.518:897.841:1383.29 545.279:953.373:1468.85 +IOPATH posedge:CLK O[4] 549.329:960.453:1479.76 586.181:1024.89:1579.03 +IOPATH posedge:CLK O[5] 500.634:875.315:1348.59 544.336:951.725:1466.31 +IOPATH posedge:CLK O[6] 491.105:858.655:1322.92 522.923:914.285:1408.63 +IOPATH posedge:CLK O[7] 478.072:835.867:1287.81 515.854:901.926:1389.59 +IOPATH posedge:CLK O[8] 674.913:1180.03:1818.05 670.032:1171.49:1804.9 +IOPATH posedge:CLK O[9] 653.424:1142.45:1760.17 615.658:1076.42:1658.43 +IOPATH posedge:CLK O[10] 578.183:1010.9:1557.49 568.193:993.436:1530.58 +IOPATH posedge:CLK O[11] 645.355:1128.35:1738.43 630.201:1101.85:1697.61 +IOPATH posedge:CLK O[12] 661.418:1156.43:1781.7 630.802:1102.9:1699.23 +IOPATH posedge:CLK O[13] 672.12:1175.14:1810.53 619.497:1083.14:1668.77 +IOPATH posedge:CLK O[14] 732.111:1280.03:1972.13 700.879:1225.43:1888 +IOPATH posedge:CLK O[15] 588.429:1028.82:1585.09 588.426:1028.81:1585.08 +IOPATH posedge:CLK O[16] 745.682:1303.76:2008.69 712.739:1246.16:1919.95 +IOPATH posedge:CLK O[17] 610.665:1067.69:1644.98 629.208:1100.12:1694.94 +IOPATH posedge:CLK O[18] 574.777:1004.95:1548.31 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[19] 650.901:1138.04:1753.37 653.165:1142:1759.47 +IOPATH posedge:CLK O[20] 638.806:1116.9:1720.79 628.52:1098.91:1693.08 +IOPATH posedge:CLK O[21] 576.036:1007.15:1551.7 564.5:986.979:1520.63 +IOPATH posedge:CLK O[22] 548.074:958.26:1476.38 550.461:962.434:1482.81 +IOPATH posedge:CLK O[23] 550.909:963.216:1484.02 548.117:958.334:1476.49 +IOPATH posedge:CLK O[24] 578.092:1010.74:1557.24 569.922:996.458:1535.23 +IOPATH posedge:CLK O[25] 560.365:979.75:1509.49 561.993:982.595:1513.87 +IOPATH posedge:CLK O[26] 566.9:991.175:1527.09 564.885:987.652:1521.66 +IOPATH posedge:CLK O[27] 577.199:1009.18:1554.83 574.82:1005.02:1548.43 +IOPATH posedge:CLK O[28] 576.773:1008.44:1553.69 576.576:1008.09:1553.16 +IOPATH posedge:CLK O[29] 585.301:1023.35:1576.66 590.921:1033.17:1591.8 +IOPATH posedge:CLK O[30] 604.743:1057.34:1629.03 608.994:1064.77:1640.48 +IOPATH posedge:CLK O[31] 618.524:1081.43:1666.15 634.303:1109.02:1708.66 +IOPATH posedge:CLK SIGNEXTOUT 720.575:1259.86:1941.05 703.764:1230.47:1895.77 CELL SB_MAC16_MUL_U_8X8_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 -HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 -HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 -HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9923:171.331:263.968 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8668:167.615:258.242 +HOLD negedge:B[3] posedge:CLK 98.2086:171.709:264.55 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4092:158.073:243.541 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4648:145.931:224.834 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.0968:152.281:234.618 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5237:158.273:243.849 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0959:155.776:240.003 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.8773:148.401:228.639 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5024:128.513:197.998 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6042:167.156:257.535 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2879:136.88:210.889 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8159:136.054:209.617 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6174:125.217:192.92 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9963:122.382:188.553 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.871:111.673:172.053 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.789:115.026:177.22 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1033:155.789:240.023 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.4948:147.732:227.609 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.14:685.622:1056.33 +RECOVERY negedge:IRSTTOP posedge:CLK 417.485:729.935:1124.6 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -10610,789 +10610,789 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 -SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 -SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 -SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 -SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 -SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 -SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 -SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 -SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 -SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 -SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 -SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 -IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 -IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 -IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 -IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 -IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 -IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 -IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 -IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 -IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 -IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 -IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 -IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 -IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 -IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 -IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 -IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 -IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 -IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 -IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 -IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 -IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 -IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 -IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 -IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 -IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 -IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 -IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 -IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 -IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 -IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 -IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 -IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 -IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 +SETUP negedge:A[0] posedge:CLK -38.0905:-66.598:-102.607 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0671:-19.3499:-29.8121 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8051:-46.8664:-72.2066 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.8351:-46.9189:-72.2874 +SETUP negedge:B[3] posedge:CLK -31.2808:-54.6918:-84.2631 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0684:-40.3331:-62.1407 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4294:-42.7126:-65.8068 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.8001:-18.8831:-29.0929 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.8645:122.152:188.198 +SETUP negedge:C[15] posedge:CLK 67.4632:117.954:181.73 +SETUP negedge:CHOLD posedge:CLK 96.6436:168.973:260.335 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.35:126.498:194.893 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0483:94.4988:145.593 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.155:206.583:318.281 +SETUP negedge:OHOLDBOT posedge:CLK 153.917:269.111:414.616 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7831:-22.3501:-34.4345 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1649:-31.7598:-48.9319 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -19.0839:-33.3665:-51.4073 +SETUP posedge:B[3] posedge:CLK -23.8044:-41.62:-64.1234 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.724:-20.4984:-31.5816 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.528:-37.6398:-57.9912 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28586:-5.74504:-8.85132 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8092:102.823:158.418 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.349:114.257:176.034 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.2937:121.154:186.661 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0107:113.666:175.123 +SETUP posedge:D[13] posedge:CLK 51.7753:90.5246:139.47 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.271:219.026:337.451 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.32:2282.23:3516.2 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.76:2751.59:4239.34 +IOPATH posedge:CLK O[0] 579.857:1013.83:1561.99 649.809:1136.13:1750.43 +IOPATH posedge:CLK O[1] 668.169:1168.23:1799.89 739.595:1293.12:1992.29 +IOPATH posedge:CLK O[2] 663.695:1160.41:1787.83 734.566:1284.32:1978.74 +IOPATH posedge:CLK O[3] 646.049:1129.56:1740.3 702.957:1229.06:1893.6 +IOPATH posedge:CLK O[4] 642.582:1123.5:1730.96 698.152:1220.66:1880.65 +IOPATH posedge:CLK O[5] 652.131:1140.19:1756.68 715.202:1250.47:1926.58 +IOPATH posedge:CLK O[6] 642.892:1124.04:1731.8 706.011:1234.4:1901.82 +IOPATH posedge:CLK O[7] 569.275:995.327:1533.49 622.419:1088.24:1676.65 +IOPATH posedge:CLK O[8] 656.102:1147.14:1767.38 687.969:1202.85:1853.22 +IOPATH posedge:CLK O[9] 620.098:1084.19:1670.4 666.842:1165.92:1796.31 +IOPATH posedge:CLK O[10] 710.754:1242.69:1914.6 749.733:1310.84:2019.6 +IOPATH posedge:CLK O[11] 700.495:1224.75:1886.97 737.003:1288.59:1985.31 +IOPATH posedge:CLK O[12] 665.935:1164.33:1793.87 700.743:1225.19:1887.63 +IOPATH posedge:CLK O[13] 634.211:1108.86:1708.41 669.464:1170.5:1803.37 +IOPATH posedge:CLK O[14] 749.947:1311.22:2020.18 786.965:1375.94:2119.89 +IOPATH posedge:CLK O[15] 667.199:1166.54:1797.27 705.277:1233.12:1899.85 +IOPATH posedge:CLK O[16] 614.13:1073.75:1654.32 666.897:1166.01:1796.46 +IOPATH posedge:CLK O[17] 615.695:1076.49:1658.53 686.943:1201.06:1850.46 +IOPATH posedge:CLK O[18] 611.06:1068.39:1646.05 681.206:1191.03:1835.01 +IOPATH posedge:CLK O[19] 687.925:1202.78:1853.1 745.936:1304.2:2009.37 +IOPATH posedge:CLK O[20] 651.447:1139:1754.84 702.76:1228.72:1893.07 +IOPATH posedge:CLK O[21] 599.683:1048.49:1615.4 651.257:1138.67:1754.33 +IOPATH posedge:CLK O[22] 587.845:1027.8:1583.51 642.552:1123.45:1730.88 +IOPATH posedge:CLK O[23] 572.713:1001.34:1542.75 626.092:1094.67:1686.54 +IOPATH posedge:CLK O[24] 608.479:1063.87:1639.1 668.19:1168.27:1799.94 +IOPATH posedge:CLK O[25] 601.08:1050.93:1619.16 648.404:1133.68:1746.64 +IOPATH posedge:CLK O[26] 596.194:1042.39:1606 640.735:1120.27:1725.99 +IOPATH posedge:CLK O[27] 603.404:1055:1625.43 649.484:1135.57:1749.55 +IOPATH posedge:CLK O[28] 610.454:1067.32:1644.41 660.223:1154.34:1778.48 +IOPATH posedge:CLK O[29] 643.811:1125.65:1734.27 686.522:1200.32:1849.33 +IOPATH posedge:CLK O[30] 629.084:1099.9:1694.6 688.12:1203.12:1853.63 +IOPATH posedge:CLK O[31] 628.71:1099.24:1693.59 682.716:1193.67:1839.07 +IOPATH posedge:CLK SIGNEXTOUT 720.525:1259.78:1940.92 703.939:1230.78:1896.24 CELL SB_MAC16_MUL_U_8X8_BYPASS -IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 -IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11 -IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22 -IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41 -IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23 -IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28 -IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68 -IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49 -IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46 -IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07 -IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8 -IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26 -IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91 -IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49 -IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84 -IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51 -IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43 -IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 -IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 -IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63 -IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82 -IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65 -IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55 -IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7 -IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51 -IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48 -IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74 -IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48 -IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94 -IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58 -IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17 -IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51 -IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18 -IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11 -IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 -IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 -IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93 -IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76 -IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66 -IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678 -IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81 -IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77 -IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34 -IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08 -IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54 -IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18 -IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77 -IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11 -IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78 -IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71 -IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 -IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 -IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27 -IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26 -IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41 -IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22 -IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19 -IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98 -IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72 -IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18 -IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83 -IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41 -IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75 -IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43 -IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35 -IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 -IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 -IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37 -IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52 -IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33 -IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3 -IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62 -IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36 -IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82 -IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46 -IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05 -IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39 -IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06 -IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99 -IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 -IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 -IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32 -IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62 -IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52 -IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2 -IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93 -IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39 -IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04 -IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62 -IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97 -IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64 -IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57 -IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 -IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 -IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91 -IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83 -IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52 -IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25 -IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71 -IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36 -IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94 -IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29 -IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96 -IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88 -IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 -IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 -IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96 -IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64 -IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38 -IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84 -IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48 -IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07 -IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41 -IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08 -IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01 -IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 -IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 -IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34 -IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42 -IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77 -IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42 -IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82 -IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46 -IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37 -IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29 -IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18 -IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16 -IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54 -IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63 -IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68 -IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6 -IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84 -IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55 -IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 -IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 -IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56 -IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45 -IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39 -IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56 -IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37 -IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28 -IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2 -IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51 -IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49 -IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88 -IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97 -IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02 -IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94 -IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18 -IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89 -IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 -IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 -IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54 -IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27 -IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08 -IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74 -IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65 -IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57 -IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72 -IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7 -IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08 -IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17 -IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22 -IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14 -IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38 -IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09 -IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 -IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 -IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42 -IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78 -IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36 -IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27 -IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19 -IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15 -IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13 -IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52 -IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61 -IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66 -IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58 -IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82 -IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53 -IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 -IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 -IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8 -IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38 -IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29 -IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21 -IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49 -IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47 -IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85 -IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94 -IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99 -IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91 -IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15 -IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86 -IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 -IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 -IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28 -IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56 -IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18 -IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28 -IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26 -IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64 -IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73 -IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79 -IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7 -IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94 -IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65 -IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 -IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 -IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4 -IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9 -IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462 -IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98 -IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36 -IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45 -IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51 -IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42 -IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66 -IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37 -IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 -IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 -IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12 -IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22 -IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2 -IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58 -IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67 -IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72 -IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64 -IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88 -IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45 -IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12 -IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75 -IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59 -IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94 -IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09 -IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9 -IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87 -IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46 -IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2 -IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66 -IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31 -IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89 -IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23 -IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91 -IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83 -IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24 -IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64 -IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37 -IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21 -IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08 -IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24 -IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05 -IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02 -IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12 -IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86 -IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32 -IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96 -IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55 -IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89 -IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56 -IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49 -IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42 -IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24 -IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7 -IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86 -IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67 -IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64 -IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45 -IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19 -IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65 -IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29 -IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88 -IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22 -IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89 -IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82 -IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63 -IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29 -IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14 -IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29 -IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1 -IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07 -IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89 -IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62 -IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08 -IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73 -IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31 -IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66 -IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33 -IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25 -IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15 -IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31 -IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65 -IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23 -IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92 -IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65 -IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11 -IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76 -IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34 -IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68 -IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36 -IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28 -IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4 -IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56 -IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11 -IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88 -IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56 -IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3 -IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76 -IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4 -IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7 -IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03 -IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7 -IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63 -IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49 -IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46 -IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64 -IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38 -IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32 -IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57 -IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8 -IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14 -IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81 -IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74 -IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75 -IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72 -IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05 -IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92 -IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85 -IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1 -IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33 -IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67 -IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34 -IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26 -IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92 -IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99 -IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71 -IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46 -IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47 -IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04 -IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95 -IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87 -IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26 -IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24 -IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63 -IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72 -IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77 -IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69 -IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93 -IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64 -IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52 -IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24 -IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54 -IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28 -IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27 -IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84 -IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75 -IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67 -IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83 -IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81 -IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19 -IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29 -IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34 -IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26 -IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5 -IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21 -IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14 -IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86 -IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5 -IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08 -IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99 -IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82 -IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92 -IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9 -IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28 -IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37 -IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42 -IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34 -IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58 -IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29 -IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48 -IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667 -IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61 -IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18 -IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09 -IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92 -IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02 -IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946 -IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38 -IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47 -IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52 -IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44 -IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68 -IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39 -IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92 -IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5 -IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97 -IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47 -IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57 -IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55 -IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93 -IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02 -IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08 -IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99 -IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23 -IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94 -IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66 -IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24 -IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18 -IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95 -IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05 -IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03 -IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41 -IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5 -IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41 -IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32 -IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56 -IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27 -IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43 -IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35 -IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44 -IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42 -IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8 -IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89 -IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1 -IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716 -IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24 -IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95 -IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53 -IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5 -IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27 -IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41 -IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26 -IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76 -IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38 -IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28 -IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52 -IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23 +IOPATH A[0] ACCUMCO 725.168:1267.89:1953.43 950.218:1661.37:2559.66 +IOPATH A[0] CO 1006.6:1759.96:2711.55 1218.67:2130.73:3282.79 +IOPATH A[0] O[0] 732.351:1280.45:1972.78 812.301:1420.24:2188.14 +IOPATH A[0] O[1] 802.742:1403.52:2162.39 876.764:1532.94:2361.79 +IOPATH A[0] O[2] 913.076:1596.43:2459.61 970.276:1696.44:2613.69 +IOPATH A[0] O[3] 999.781:1748.03:2693.17 1056.72:1847.59:2846.56 +IOPATH A[0] O[4] 1074.72:1879.05:2895.03 1130.5:1976.58:3045.29 +IOPATH A[0] O[5] 1134.68:1983.89:3056.55 1196.58:2092.12:3223.3 +IOPATH A[0] O[6] 1177.52:2058.79:3171.96 1241.72:2171.04:3344.9 +IOPATH A[0] O[7] 1221.19:2135.14:3289.59 1272.68:2225.17:3428.3 +IOPATH A[0] O[8] 1428.49:2497.6:3848.02 1460.31:2553.22:3933.72 +IOPATH A[0] O[9] 1457.86:2548.93:3927.11 1500:2622.62:4040.64 +IOPATH A[0] O[10] 1517.19:2652.68:4086.96 1555.79:2720.16:4190.92 +IOPATH A[0] O[11] 1610.07:2815.06:4337.13 1645.1:2876.31:4431.5 +IOPATH A[0] O[12] 1550.66:2711.19:4177.11 1585.89:2772.79:4272.01 +IOPATH A[0] O[13] 1574.98:2753.71:4242.6 1610.15:2815.21:4337.37 +IOPATH A[0] O[14] 1739.07:3040.62:4684.65 1774.7:3102.92:4780.63 +IOPATH A[0] O[15] 1730.27:3025.22:4660.92 1735.33:3034.08:4674.57 +IOPATH A[1] ACCUMCO 842.338:1472.76:2269.06 980.093:1713.61:2640.13 +IOPATH A[1] CO 1123.77:1964.82:3027.18 1248.54:2182.96:3363.27 +IOPATH A[1] O[1] 787.147:1376.26:2120.38 862.218:1507.51:2322.61 +IOPATH A[1] O[2] 973.76:1702.53:2623.07 1030.95:1802.53:2777.14 +IOPATH A[1] O[3] 1060.46:1854.13:2856.63 1117.4:1953.68:3010.02 +IOPATH A[1] O[4] 1144.01:2000.21:3081.7 1187.66:2076.53:3199.28 +IOPATH A[1] O[5] 1262.04:2206.56:3399.63 1323.93:2314.78:3566.36 +IOPATH A[1] O[6] 1304.87:2281.46:3515.02 1369.08:2393.71:3687.96 +IOPATH A[1] O[7] 1348.54:2357.81:3632.65 1400.04:2447.84:3771.36 +IOPATH A[1] O[8] 1543.88:2699.34:4158.84 1575.69:2754.96:4244.53 +IOPATH A[1] O[9] 1573.24:2750.67:4237.93 1615.39:2824.36:4351.46 +IOPATH A[1] O[10] 1632.58:2854.42:4397.77 1671.17:2921.9:4501.74 +IOPATH A[1] O[11] 1725.45:3016.8:4647.95 1760.48:3078.05:4742.32 +IOPATH A[1] O[12] 1666.05:2912.93:4487.92 1701.28:2974.53:4582.83 +IOPATH A[1] O[13] 1690.36:2955.45:4553.42 1725.54:3016.95:4648.19 +IOPATH A[1] O[14] 1854.46:3242.36:4995.46 1890.09:3304.66:5091.44 +IOPATH A[1] O[15] 1845.65:3226.96:4971.73 1850.72:3235.82:4985.39 +IOPATH A[2] ACCUMCO 809.798:1415.86:2181.4 915.404:1600.5:2465.88 +IOPATH A[2] CO 1091.23:1907.93:2939.52 1183.85:2069.86:3189.01 +IOPATH A[2] O[2] 966.44:1689.74:2603.36 1023.63:1789.73:2757.42 +IOPATH A[2] O[3] 1053.14:1841.33:2836.91 1110.09:1940.89:2990.3 +IOPATH A[2] O[4] 1136.78:1987.57:3062.22 1180.35:2063.73:3179.57 +IOPATH A[2] O[5] 1254.81:2193.92:3380.15 1316.7:2302.14:3546.88 +IOPATH A[2] O[6] 1297.64:2268.82:3495.54 1361.84:2381.07:3668.48 +IOPATH A[2] O[7] 1341.31:2345.17:3613.17 1392.8:2435.2:3751.88 +IOPATH A[2] O[8] 1548.11:2706.73:4170.23 1579.92:2762.35:4255.92 +IOPATH A[2] O[9] 1577.47:2758.07:4249.32 1619.61:2831.75:4362.85 +IOPATH A[2] O[10] 1636.81:2861.82:4409.17 1675.4:2929.29:4513.13 +IOPATH A[2] O[11] 1729.68:3024.19:4659.34 1764.71:3085.44:4753.71 +IOPATH A[2] O[12] 1670.27:2920.33:4499.31 1705.51:2981.93:4594.22 +IOPATH A[2] O[13] 1694.59:2962.84:4564.81 1729.77:3024.35:4659.58 +IOPATH A[2] O[14] 1858.69:3249.75:5006.85 1894.32:3312.05:5102.83 +IOPATH A[2] O[15] 1849.88:3234.35:4983.13 1854.95:3243.21:4996.78 +IOPATH A[3] ACCUMCO 777.105:1358.7:2093.33 890.717:1557.34:2399.38 +IOPATH A[3] CO 1058.54:1850.77:2851.46 1159.17:2026.7:3122.51 +IOPATH A[3] O[3] 947.607:1656.81:2552.62 991.84:1734.15:2671.78 +IOPATH A[3] O[4] 1148.3:2007.7:3093.24 1191.46:2083.16:3209.49 +IOPATH A[3] O[5] 1266.32:2214.05:3411.17 1328.22:2322.27:3577.9 +IOPATH A[3] O[6] 1309.16:2288.95:3526.56 1373.36:2401.2:3699.5 +IOPATH A[3] O[7] 1352.83:2365.3:3644.19 1404.32:2455.33:3782.9 +IOPATH A[3] O[8] 1574.97:2753.7:4242.6 1606.79:2809.32:4328.29 +IOPATH A[3] O[9] 1604.34:2805.04:4321.69 1646.48:2878.73:4435.22 +IOPATH A[3] O[10] 1663.67:2908.79:4481.54 1702.27:2976.27:4585.5 +IOPATH A[3] O[11] 1756.54:3071.16:4731.71 1791.58:3132.42:4826.08 +IOPATH A[3] O[12] 1697.14:2967.3:4571.69 1732.37:3028.9:4666.59 +IOPATH A[3] O[13] 1721.46:3009.81:4637.19 1756.63:3071.32:4731.95 +IOPATH A[3] O[14] 1885.55:3296.72:5079.22 1921.18:3359.02:5175.2 +IOPATH A[3] O[15] 1876.74:3281.32:5055.5 1881.81:3290.19:5069.15 +IOPATH A[4] ACCUMCO 788.202:1378.1:2123.23 985.703:1723.42:2655.25 +IOPATH A[4] CO 1069.64:1870.17:2881.35 1254.15:2192.77:3378.38 +IOPATH A[4] O[4] 1167.04:2040.46:3143.71 1210.19:2115.92:3259.97 +IOPATH A[4] O[5] 1285.06:2246.81:3461.64 1346.95:2355.03:3628.37 +IOPATH A[4] O[6] 1327.89:2321.71:3577.03 1392.1:2433.96:3749.97 +IOPATH A[4] O[7] 1371.56:2398.06:3694.66 1423.06:2488.09:3833.37 +IOPATH A[4] O[8] 1659.85:2902.11:4471.25 1691.67:2957.73:4556.95 +IOPATH A[4] O[9] 1689.22:2953.45:4550.34 1731.36:3027.14:4663.87 +IOPATH A[4] O[10] 1748.55:3057.2:4710.19 1787.15:3124.67:4814.15 +IOPATH A[4] O[11] 1841.43:3219.57:4960.36 1876.46:3280.82:5054.73 +IOPATH A[4] O[12] 1782.02:3115.71:4800.34 1817.25:3177.31:4895.24 +IOPATH A[4] O[13] 1806.34:3158.22:4865.83 1841.52:3219.73:4960.6 +IOPATH A[4] O[14] 1970.43:3445.13:5307.87 2006.07:3507.43:5403.86 +IOPATH A[4] O[15] 1961.63:3429.73:5284.15 1966.69:3438.59:5297.8 +IOPATH A[5] ACCUMCO 757.401:1324.25:2040.26 903.555:1579.79:2433.96 +IOPATH A[5] CO 1038.84:1816.32:2798.38 1172:2049.15:3157.09 +IOPATH A[5] O[5] 1235.88:2160.83:3329.17 1285.4:2247.4:3462.55 +IOPATH A[5] O[6] 1336.52:2336.8:3600.27 1405.02:2456.56:3784.79 +IOPATH A[5] O[7] 1454.48:2543.03:3918.01 1493.47:2611.2:4023.05 +IOPATH A[5] O[8] 1773.72:3101.19:4777.96 1805.53:3156.81:4863.66 +IOPATH A[5] O[9] 1803.08:3152.52:4857.06 1845.22:3226.21:4970.59 +IOPATH A[5] O[10] 1862.42:3256.27:5016.9 1901.01:3323.75:5120.86 +IOPATH A[5] O[11] 1955.29:3418.65:5267.07 1990.32:3479.9:5361.44 +IOPATH A[5] O[12] 1895.88:3314.79:5107.05 1931.11:3376.38:5201.96 +IOPATH A[5] O[13] 1920.2:3357.3:5172.55 1955.38:3418.8:5267.31 +IOPATH A[5] O[14] 2084.29:3644.21:5614.59 2119.93:3706.51:5710.57 +IOPATH A[5] O[15] 2075.49:3628.81:5590.86 2080.56:3637.67:5604.51 +IOPATH A[6] ACCUMCO 829.265:1449.9:2233.84 952.919:1666.1:2566.94 +IOPATH A[6] CO 1110.7:1941.96:2991.96 1221.37:2135.45:3290.07 +IOPATH A[6] O[6] 1253.08:2190.9:3375.49 1321.58:2310.66:3560.01 +IOPATH A[6] O[7] 1340.56:2343.86:3611.16 1379.56:2412.04:3716.2 +IOPATH A[6] O[8] 1659.8:2902.02:4471.11 1691.62:2957.64:4556.8 +IOPATH A[6] O[9] 1689.16:2953.36:4550.2 1731.31:3027.04:4663.73 +IOPATH A[6] O[10] 1748.5:3057.1:4710.04 1787.1:3124.58:4814.01 +IOPATH A[6] O[11] 1841.37:3219.48:4960.22 1876.41:3280.73:5054.59 +IOPATH A[6] O[12] 1781.97:3115.62:4800.19 1817.2:3177.22:4895.1 +IOPATH A[6] O[13] 1806.28:3158.13:4865.69 1841.46:3219.64:4960.46 +IOPATH A[6] O[14] 1970.38:3445.04:5307.73 2006.01:3507.34:5403.71 +IOPATH A[6] O[15] 1961.57:3429.64:5284.01 1966.64:3438.5:5297.66 +IOPATH A[7] ACCUMCO 791.512:1383.89:2132.14 912.494:1595.42:2458.04 +IOPATH A[7] CO 1072.95:1875.96:2890.27 1180.94:2064.77:3181.17 +IOPATH A[7] O[7] 1363.24:2383.51:3672.25 1402.24:2451.69:3777.29 +IOPATH A[7] O[8] 1682.48:2941.67:4532.2 1714.29:2997.29:4617.9 +IOPATH A[7] O[9] 1711.84:2993.01:4611.29 1753.99:3066.7:4724.82 +IOPATH A[7] O[10] 1771.18:3096.76:4771.14 1809.77:3164.23:4875.1 +IOPATH A[7] O[11] 1864.05:3259.13:5021.31 1899.09:3320.38:5115.68 +IOPATH A[7] O[12] 1804.65:3155.27:4861.29 1839.88:3216.87:4956.19 +IOPATH A[7] O[13] 1828.96:3197.78:4926.78 1864.14:3259.29:5021.55 +IOPATH A[7] O[14] 1993.06:3484.69:5368.82 2028.69:3546.99:5464.81 +IOPATH A[7] O[15] 1984.25:3469.29:5345.1 1989.32:3478.15:5358.75 +IOPATH A[8] ACCUMCO 772.671:1350.95:2081.39 900.977:1575.28:2427.01 +IOPATH A[8] CO 1054.11:1843.02:2839.51 1169.42:2044.64:3150.15 +IOPATH A[8] O[16] 816.475:1427.53:2199.39 889.607:1555.4:2396.39 +IOPATH A[8] O[17] 894.291:1563.59:2409 966.838:1690.43:2604.43 +IOPATH A[8] O[18] 948.816:1658.92:2555.88 1009.79:1765.53:2720.13 +IOPATH A[8] O[19] 1114.61:1948.8:3002.5 1174.82:2054.07:3164.68 +IOPATH A[8] O[20] 1157.14:2023.16:3117.05 1208.94:2113.72:3256.59 +IOPATH A[8] O[21] 1155.33:2020:3112.19 1208.76:2113.42:3256.11 +IOPATH A[8] O[22] 1189.21:2079.22:3203.44 1245.6:2177.82:3355.34 +IOPATH A[8] O[23] 1222.96:2138.24:3294.36 1277.52:2233.62:3441.32 +IOPATH A[8] O[24] 1398.36:2444.91:3766.85 1471.18:2572.22:3963 +IOPATH A[8] O[25] 1442.61:2522.28:3886.04 1500.18:2622.93:4041.12 +IOPATH A[8] O[26] 1500.46:2623.42:4041.87 1554.45:2717.82:4187.31 +IOPATH A[8] O[27] 1553.24:2715.7:4184.05 1605.63:2807.3:4325.18 +IOPATH A[8] O[28] 1522.56:2662.06:4101.4 1579.1:2760.92:4253.72 +IOPATH A[8] O[29] 1593.68:2786.41:4292.99 1648.88:2882.92:4441.69 +IOPATH A[8] O[30] 1640.35:2868.01:4418.72 1706.52:2983.71:4596.97 +IOPATH A[8] O[31] 1679.09:2935.74:4523.06 1740.8:3043.64:4689.3 +IOPATH A[9] ACCUMCO 830.296:1451.7:2236.62 957.035:1673.29:2578.02 +IOPATH A[9] CO 1111.73:1943.77:2994.74 1225.48:2142.65:3301.16 +IOPATH A[9] O[17] 844.477:1476.49:2274.82 927.081:1620.92:2497.33 +IOPATH A[9] O[18] 1039.75:1817.92:2800.84 1096.17:1916.55:2952.81 +IOPATH A[9] O[19] 1205.56:2107.81:3247.48 1265.76:2213.08:3409.66 +IOPATH A[9] O[20] 1251.07:2187.38:3370.07 1294.37:2263.08:3486.71 +IOPATH A[9] O[21] 1301.81:2276.1:3506.76 1355.24:2369.51:3650.68 +IOPATH A[9] O[22] 1335.68:2335.32:3598 1392.07:2433.91:3749.9 +IOPATH A[9] O[23] 1369.43:2394.33:3688.92 1423.99:2489.72:3835.88 +IOPATH A[9] O[24] 1547.51:2705.68:4168.61 1620.32:2832.99:4364.75 +IOPATH A[9] O[25] 1591.75:2783.04:4287.8 1649.32:2883.69:4442.87 +IOPATH A[9] O[26] 1649.6:2884.18:4443.63 1703.59:2978.58:4589.07 +IOPATH A[9] O[27] 1702.38:2976.47:4585.81 1754.77:3068.07:4726.93 +IOPATH A[9] O[28] 1671.7:2922.82:4503.15 1728.25:3021.69:4655.48 +IOPATH A[9] O[29] 1742.82:3047.17:4694.75 1798.02:3143.69:4843.44 +IOPATH A[9] O[30] 1789.5:3128.78:4820.47 1855.67:3244.47:4998.72 +IOPATH A[9] O[31] 1828.23:3196.5:4924.81 1889.94:3304.4:5091.05 +IOPATH A[10] ACCUMCO 775.035:1355.08:2087.76 850.37:1486.8:2290.69 +IOPATH A[10] CO 1056.47:1847.15:2845.88 1118.82:1956.16:3013.83 +IOPATH A[10] O[18] 969.844:1695.69:2612.53 1026.39:1794.55:2764.85 +IOPATH A[10] O[19] 1135.68:1985.64:3059.25 1195.89:2090.9:3221.43 +IOPATH A[10] O[20] 1182.91:2068.21:3186.47 1228.24:2147.47:3308.59 +IOPATH A[10] O[21] 1233.65:2156.93:3323.16 1287.08:2250.34:3467.08 +IOPATH A[10] O[22] 1267.52:2216.15:3414.39 1323.91:2314.74:3566.29 +IOPATH A[10] O[23] 1301.27:2275.16:3505.32 1355.83:2370.55:3652.28 +IOPATH A[10] O[24] 1491.55:2607.85:4017.89 1564.37:2735.16:4214.04 +IOPATH A[10] O[25] 1535.8:2685.21:4137.08 1593.37:2785.87:4292.15 +IOPATH A[10] O[26] 1593.65:2786.36:4292.91 1647.64:2880.76:4438.35 +IOPATH A[10] O[27] 1646.43:2878.64:4435.09 1698.82:2970.24:4576.21 +IOPATH A[10] O[28] 1615.75:2824.99:4352.44 1672.3:2923.86:4504.76 +IOPATH A[10] O[29] 1686.87:2949.35:4544.03 1742.07:3045.86:4692.72 +IOPATH A[10] O[30] 1733.55:3030.95:4669.75 1799.72:3146.65:4848 +IOPATH A[10] O[31] 1772.28:3098.68:4774.09 1833.99:3206.57:4940.33 +IOPATH A[11] ACCUMCO 704.52:1231.79:1897.81 783.813:1370.43:2111.4 +IOPATH A[11] CO 985.956:1723.86:2655.93 1052.26:1839.79:2834.54 +IOPATH A[11] O[19] 1029.74:1800.4:2773.86 1077.46:1883.85:2902.43 +IOPATH A[11] O[20] 1186.16:2073.9:3195.23 1225.64:2142.92:3301.58 +IOPATH A[11] O[21] 1236.91:2162.62:3331.92 1290.33:2256.03:3475.84 +IOPATH A[11] O[22] 1270.77:2221.84:3423.16 1327.16:2320.43:3575.06 +IOPATH A[11] O[23] 1304.53:2280.85:3514.08 1359.08:2376.24:3661.04 +IOPATH A[11] O[24] 1515.37:2649.49:4082.04 1588.19:2776.8:4278.19 +IOPATH A[11] O[25] 1559.62:2726.85:4201.23 1617.18:2827.51:4356.31 +IOPATH A[11] O[26] 1617.47:2828:4357.06 1671.46:2922.4:4502.5 +IOPATH A[11] O[27] 1670.25:2920.28:4499.24 1722.64:3011.88:4640.37 +IOPATH A[11] O[28] 1639.56:2866.63:4416.59 1696.11:2965.5:4568.92 +IOPATH A[11] O[29] 1710.69:2990.99:4608.18 1765.89:3087.5:4756.88 +IOPATH A[11] O[30] 1757.36:3072.59:4733.91 1823.53:3188.29:4912.16 +IOPATH A[11] O[31] 1796.1:3140.32:4838.25 1857.81:3248.21:5004.49 +IOPATH A[12] ACCUMCO 636.382:1112.66:1714.26 799.041:1397.05:2152.42 +IOPATH A[12] CO 917.819:1604.73:2472.38 1067.49:1866.41:2875.56 +IOPATH A[12] O[20] 1206.82:2110.02:3250.88 1246.3:2179.04:3357.23 +IOPATH A[12] O[21] 1257.56:2198.74:3387.57 1310.99:2292.15:3531.49 +IOPATH A[12] O[22] 1291.43:2257.96:3478.81 1347.82:2356.55:3630.71 +IOPATH A[12] O[23] 1325.19:2316.97:3569.73 1379.74:2412.36:3716.69 +IOPATH A[12] O[24] 1579.45:2761.53:4254.66 1652.27:2888.84:4450.81 +IOPATH A[12] O[25] 1623.7:2838.89:4373.85 1681.27:2939.54:4528.92 +IOPATH A[12] O[26] 1681.55:2940.04:4529.68 1735.54:3034.44:4675.12 +IOPATH A[12] O[27] 1734.33:3032.32:4671.86 1786.72:3123.92:4812.98 +IOPATH A[12] O[28] 1703.64:2978.67:4589.21 1760.19:3077.54:4741.53 +IOPATH A[12] O[29] 1774.77:3103.03:4780.8 1829.97:3199.54:4929.5 +IOPATH A[12] O[30] 1821.44:3184.63:4906.52 1887.61:3300.33:5084.78 +IOPATH A[12] O[31] 1860.18:3252.35:5010.87 1921.89:3360.25:5177.1 +IOPATH A[13] ACCUMCO 680.521:1189.83:1833.16 812.816:1421.14:2189.53 +IOPATH A[13] CO 961.957:1681.9:2591.28 1081.26:1890.5:2912.66 +IOPATH A[13] O[21] 1129.8:1975.35:3043.4 1172.29:2049.65:3157.87 +IOPATH A[13] O[22] 1223.77:2139.65:3296.54 1285.52:2247.61:3462.87 +IOPATH A[13] O[23] 1325.41:2317.36:3570.32 1366.94:2389.98:3682.22 +IOPATH A[13] O[24] 1610.32:2815.51:4337.83 1683.14:2942.82:4533.98 +IOPATH A[13] O[25] 1654.57:2892.87:4457.02 1712.14:2993.53:4612.09 +IOPATH A[13] O[26] 1712.42:2994.02:4612.85 1766.41:3088.42:4758.29 +IOPATH A[13] O[27] 1765.2:3086.3:4755.03 1817.59:3177.9:4896.15 +IOPATH A[13] O[28] 1734.52:3032.65:4672.38 1791.07:3131.52:4824.7 +IOPATH A[13] O[29] 1805.64:3157.01:4863.97 1860.84:3253.52:5012.66 +IOPATH A[13] O[30] 1852.32:3238.61:4989.69 1918.49:3354.31:5167.94 +IOPATH A[13] O[31] 1891.05:3306.34:5094.03 1952.76:3414.23:5260.27 +IOPATH A[14] ACCUMCO 682.587:1193.44:1838.73 793.555:1387.46:2137.65 +IOPATH A[14] CO 964.024:1685.51:2596.85 1062:1856.82:2860.78 +IOPATH A[14] O[22] 1254.66:2193.67:3379.76 1316.41:2301.63:3546.09 +IOPATH A[14] O[23] 1344.44:2350.64:3621.61 1385.98:2423.27:3733.5 +IOPATH A[14] O[24] 1629.36:2848.8:4389.11 1702.18:2976.11:4585.26 +IOPATH A[14] O[25] 1673.61:2926.16:4508.3 1731.18:3026.81:4663.38 +IOPATH A[14] O[26] 1731.46:3027.3:4664.13 1785.45:3121.7:4809.57 +IOPATH A[14] O[27] 1784.24:3119.59:4806.31 1836.63:3211.19:4947.44 +IOPATH A[14] O[28] 1753.56:3065.94:4723.66 1810.1:3164.81:4875.98 +IOPATH A[14] O[29] 1824.68:3190.29:4915.25 1879.88:3286.81:5063.95 +IOPATH A[14] O[30] 1871.35:3271.9:5040.98 1937.53:3387.59:5219.23 +IOPATH A[14] O[31] 1910.09:3339.62:5145.32 1971.8:3447.52:5311.56 +IOPATH A[15] ACCUMCO 744.159:1301.1:2004.59 885.328:1547.92:2384.86 +IOPATH A[15] CO 1025.6:1793.16:2762.71 1153.78:2017.28:3107.99 +IOPATH A[15] O[23] 1351.43:2362.86:3640.44 1392.97:2435.49:3752.33 +IOPATH A[15] O[24] 1636.35:2861.02:4407.94 1709.17:2988.33:4604.09 +IOPATH A[15] O[25] 1680.6:2938.38:4527.13 1738.17:3039.03:4682.21 +IOPATH A[15] O[26] 1738.45:3039.53:4682.96 1792.44:3133.93:4828.4 +IOPATH A[15] O[27] 1791.23:3131.81:4825.14 1843.62:3223.41:4966.27 +IOPATH A[15] O[28] 1760.55:3078.16:4742.49 1817.09:3177.03:4894.81 +IOPATH A[15] O[29] 1831.67:3202.52:4934.08 1886.87:3299.03:5082.78 +IOPATH A[15] O[30] 1878.34:3284.12:5059.81 1944.52:3399.82:5238.06 +IOPATH A[15] O[31] 1917.08:3351.84:5164.15 1978.79:3459.74:5330.39 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[0] O[0] 797.723:1394.75:2148.87 889.662:1555.5:2396.53 +IOPATH B[0] O[1] 868.031:1517.68:2338.27 949.483:1660.09:2557.68 +IOPATH B[0] O[2] 1041.08:1820.24:2804.43 1098.28:1920.24:2958.49 +IOPATH B[0] O[3] 1127.79:1971.85:3038.01 1184.74:2071.41:3191.4 +IOPATH B[0] O[4] 1245.98:2178.49:3356.38 1289.14:2253.95:3472.63 +IOPATH B[0] O[5] 1364.01:2384.84:3674.3 1425.9:2493.06:3841.04 +IOPATH B[0] O[6] 1406.84:2459.74:3789.69 1471.04:2571.99:3962.63 +IOPATH B[0] O[7] 1450.51:2536.09:3907.32 1502:2626.12:4046.03 +IOPATH B[0] O[8] 1748.28:3056.71:4709.44 1780.09:3112.33:4795.14 +IOPATH B[0] O[9] 1777.64:3108.05:4788.54 1819.79:3181.74:4902.07 +IOPATH B[0] O[10] 1836.98:3211.8:4948.38 1875.57:3279.28:5052.34 +IOPATH B[0] O[11] 1929.85:3374.17:5198.55 1964.88:3435.43:5292.92 +IOPATH B[0] O[12] 1870.45:3270.31:5038.53 1905.68:3331.91:5133.43 +IOPATH B[0] O[13] 1894.76:3312.82:5104.03 1929.94:3374.33:5198.79 +IOPATH B[0] O[14] 2058.86:3599.73:5546.07 2094.49:3662.03:5642.05 +IOPATH B[0] O[15] 2050.05:3584.33:5522.34 2055.12:3593.2:5535.99 +IOPATH B[1] O[0] 843.234:1474.32:2271.47 915.124:1600.01:2465.12 +IOPATH B[1] O[1] 913.577:1597.31:2460.96 987.599:1726.73:2660.35 +IOPATH B[1] O[2] 1172.17:2049.43:3157.54 1229.37:2149.44:3311.62 +IOPATH B[1] O[3] 1258.88:2201.04:3391.12 1315.82:2300.6:3544.51 +IOPATH B[1] O[4] 1388.15:2427.06:3739.34 1431.31:2502.51:3855.6 +IOPATH B[1] O[5] 1506.17:2633.41:4057.27 1568.07:2741.63:4224 +IOPATH B[1] O[6] 1549.01:2708.31:4172.66 1613.21:2820.56:4345.6 +IOPATH B[1] O[7] 1592.68:2784.66:4290.29 1644.17:2874.69:4429 +IOPATH B[1] O[8] 1866.11:3262.73:5026.86 1897.93:3318.36:5112.55 +IOPATH B[1] O[9] 1895.47:3314.07:5105.95 1937.62:3387.76:5219.48 +IOPATH B[1] O[10] 1954.81:3417.82:5265.79 1993.41:3485.3:5369.75 +IOPATH B[1] O[11] 2047.68:3580.19:5515.96 2082.72:3641.45:5610.33 +IOPATH B[1] O[12] 1988.28:3476.33:5355.95 2023.51:3537.93:5450.85 +IOPATH B[1] O[13] 2012.59:3518.84:5421.44 2047.77:3580.35:5516.21 +IOPATH B[1] O[14] 2176.69:3805.76:5863.48 2212.32:3868.05:5959.46 +IOPATH B[1] O[15] 2167.88:3790.35:5839.76 2172.95:3799.22:5853.41 +IOPATH B[2] O[2] 1069.93:1870.67:2882.12 1127.13:1970.68:3036.2 +IOPATH B[2] O[3] 1156.63:2022.27:3115.68 1213.57:2121.83:3269.07 +IOPATH B[2] O[4] 1289.14:2253.94:3472.62 1332.29:2329.4:3588.88 +IOPATH B[2] O[5] 1407.16:2460.3:3790.55 1469.06:2568.52:3957.28 +IOPATH B[2] O[6] 1450:2535.19:3905.94 1514.2:2647.44:4078.88 +IOPATH B[2] O[7] 1493.66:2611.54:4023.57 1545.16:2701.57:4162.28 +IOPATH B[2] O[8] 1812.68:3169.32:4882.93 1844.5:3224.94:4968.63 +IOPATH B[2] O[9] 1842.04:3220.65:4962.02 1884.19:3294.34:5075.55 +IOPATH B[2] O[10] 1901.38:3324.4:5121.87 1939.98:3391.88:5225.83 +IOPATH B[2] O[11] 1994.25:3486.78:5372.04 2029.29:3548.03:5466.41 +IOPATH B[2] O[12] 1934.85:3382.91:5212.02 1970.08:3444.51:5306.92 +IOPATH B[2] O[13] 1959.16:3425.43:5277.51 1994.34:3486.93:5372.28 +IOPATH B[2] O[14] 2123.26:3712.34:5719.55 2158.89:3774.63:5815.54 +IOPATH B[2] O[15] 2114.45:3696.94:5695.83 2119.52:3705.8:5709.48 +IOPATH B[3] O[2] 963.83:1685.17:2596.33 1021.03:1785.18:2750.41 +IOPATH B[3] O[3] 1125.6:1968.01:3032.08 1169.84:2045.36:3151.26 +IOPATH B[3] O[4] 1324.75:2316.21:3568.56 1367.91:2391.67:3684.81 +IOPATH B[3] O[5] 1442.77:2522.56:3886.49 1504.67:2630.78:4053.22 +IOPATH B[3] O[6] 1485.61:2597.46:4001.88 1549.81:2709.71:4174.82 +IOPATH B[3] O[7] 1529.28:2673.81:4119.51 1580.77:2763.84:4258.22 +IOPATH B[3] O[8] 1848.3:3231.58:4978.87 1880.11:3287.21:5064.56 +IOPATH B[3] O[9] 1877.66:3282.92:5057.96 1919.8:3356.61:5171.49 +IOPATH B[3] O[10] 1937:3386.67:5217.8 1975.59:3454.15:5321.76 +IOPATH B[3] O[11] 2029.87:3549.04:5467.97 2064.9:3610.3:5562.34 +IOPATH B[3] O[12] 1970.46:3445.18:5307.95 2005.69:3506.78:5402.86 +IOPATH B[3] O[13] 1994.78:3487.69:5373.45 2029.96:3549.2:5468.21 +IOPATH B[3] O[14] 2158.88:3774.61:5815.49 2194.51:3836.9:5911.47 +IOPATH B[3] O[15] 2150.07:3759.21:5791.76 2155.14:3768.07:5805.42 +IOPATH B[4] O[4] 1162.5:2032.54:3131.5 1205.66:2107.99:3247.76 +IOPATH B[4] O[5] 1280.53:2238.89:3449.43 1342.42:2347.11:3616.16 +IOPATH B[4] O[6] 1325.72:2317.91:3571.17 1394.22:2437.67:3755.69 +IOPATH B[4] O[7] 1422.87:2487.76:3832.87 1461.86:2555.94:3937.91 +IOPATH B[4] O[8] 1742.11:3045.93:4692.82 1773.92:3101.55:4778.52 +IOPATH B[4] O[9] 1771.47:3097.26:4771.91 1813.62:3170.95:4885.44 +IOPATH B[4] O[10] 1830.81:3201.01:4931.76 1869.4:3268.49:5035.72 +IOPATH B[4] O[11] 1923.68:3363.38:5181.93 1958.71:3424.64:5276.3 +IOPATH B[4] O[12] 1864.28:3259.52:5021.91 1899.51:3321.12:5116.81 +IOPATH B[4] O[13] 1888.59:3302.03:5087.4 1923.77:3363.54:5182.17 +IOPATH B[4] O[14] 2052.69:3588.95:5529.45 2088.32:3651.24:5625.43 +IOPATH B[4] O[15] 2043.88:3573.55:5505.72 2048.95:3582.41:5519.37 +IOPATH B[5] O[4] 1148.86:2008.68:3094.75 1192.02:2084.14:3211.01 +IOPATH B[5] O[5] 1266.88:2215.04:3412.68 1328.78:2323.26:3579.41 +IOPATH B[5] O[6] 1368.72:2393.09:3687 1437.22:2512.85:3871.52 +IOPATH B[5] O[7] 1465.47:2562.25:3947.63 1504.47:2630.43:4052.67 +IOPATH B[5] O[8] 1784.71:3120.41:4807.58 1816.52:3176.03:4893.28 +IOPATH B[5] O[9] 1814.07:3171.75:4886.67 1856.22:3245.43:5000.2 +IOPATH B[5] O[10] 1873.41:3275.49:5046.52 1912:3342.97:5150.48 +IOPATH B[5] O[11] 1966.28:3437.87:5296.69 2001.32:3499.12:5391.06 +IOPATH B[5] O[12] 1952.45:3413.7:5259.44 1987.69:3475.31:5354.36 +IOPATH B[5] O[13] 1976.77:3456.21:5324.94 2011.95:3517.72:5419.7 +IOPATH B[5] O[14] 2140.87:3743.12:5766.98 2176.5:3805.42:5862.96 +IOPATH B[5] O[15] 2132.06:3727.72:5743.25 2137.13:3736.58:5756.91 +IOPATH B[6] O[6] 1146.18:2004:3087.54 1214.68:2123.76:3272.05 +IOPATH B[6] O[7] 1205.42:2107.57:3247.1 1257.93:2199.39:3388.57 +IOPATH B[6] O[8] 1524.65:2665.72:4107.05 1556.47:2721.35:4192.75 +IOPATH B[6] O[9] 1554.02:2717.06:4186.14 1596.16:2790.75:4299.67 +IOPATH B[6] O[10] 1613.89:2821.75:4347.44 1656.12:2895.58:4461.18 +IOPATH B[6] O[11] 1706.76:2984.12:4597.6 1741.79:3045.38:4691.97 +IOPATH B[6] O[12] 1792.71:3134.4:4829.14 1827.95:3196.01:4924.06 +IOPATH B[6] O[13] 1817.03:3176.92:4894.64 1852.21:3238.42:4989.4 +IOPATH B[6] O[14] 1981.13:3463.83:5336.68 2016.76:3526.12:5432.66 +IOPATH B[6] O[15] 1972.32:3448.43:5312.95 1977.39:3457.29:5326.6 +IOPATH B[7] O[6] 1093.21:1911.38:2944.84 1161.7:2031.14:3129.35 +IOPATH B[7] O[7] 1152.47:2014.99:3104.47 1204.96:2106.76:3245.87 +IOPATH B[7] O[8] 1555.09:2718.95:4189.05 1574.37:2752.64:4240.96 +IOPATH B[7] O[9] 1636.68:2861.59:4408.81 1683.45:2943.37:4534.82 +IOPATH B[7] O[10] 1708.06:2986.4:4601.11 1750.29:3060.23:4714.86 +IOPATH B[7] O[11] 1800.93:3148.77:4851.28 1835.97:3210.02:4945.65 +IOPATH B[7] O[12] 1886.88:3299.05:5082.81 1922.12:3360.66:5177.73 +IOPATH B[7] O[13] 1911.2:3341.56:5148.31 1946.38:3403.07:5243.07 +IOPATH B[7] O[14] 2075.3:3628.48:5590.35 2110.93:3690.77:5686.33 +IOPATH B[7] O[15] 2066.49:3613.07:5566.62 2071.56:3621.94:5580.27 +IOPATH B[8] O[16] 799.014:1397.01:2152.35 872.19:1524.95:2349.47 +IOPATH B[8] O[17] 876.83:1533.06:2361.97 949.421:1659.98:2557.51 +IOPATH B[8] O[18] 1045.15:1827.36:2815.39 1101.7:1926.22:2967.71 +IOPATH B[8] O[19] 1211:2117.33:3262.14 1271.2:2222.59:3424.32 +IOPATH B[8] O[20] 1278.93:2236.1:3445.14 1318.41:2305.13:3551.48 +IOPATH B[8] O[21] 1329.68:2324.82:3581.83 1383.1:2418.24:3725.75 +IOPATH B[8] O[22] 1363.55:2384.04:3673.06 1419.93:2482.63:3824.96 +IOPATH B[8] O[23] 1403.08:2453.17:3779.57 1451.85:2538.44:3910.95 +IOPATH B[8] O[24] 1688:2951.32:4547.07 1760.82:3078.64:4743.22 +IOPATH B[8] O[25] 1732.25:3028.69:4666.26 1789.82:3129.34:4821.33 +IOPATH B[8] O[26] 1790.1:3129.83:4822.09 1844.09:3224.23:4967.53 +IOPATH B[8] O[27] 1842.88:3222.11:4964.27 1895.27:3313.71:5105.39 +IOPATH B[8] O[28] 1812.2:3168.47:4881.62 1868.74:3267.33:5033.94 +IOPATH B[8] O[29] 1883.32:3292.82:5073.21 1938.52:3389.33:5221.91 +IOPATH B[8] O[30] 1929.99:3374.42:5198.94 1996.16:3490.12:5377.19 +IOPATH B[8] O[31] 1968.73:3442.15:5303.28 2030.44:3550.05:5469.51 +IOPATH B[9] O[16] 890.459:1556.89:2398.68 944.762:1651.83:2544.96 +IOPATH B[9] O[17] 968.315:1693.01:2608.41 1037.55:1814.07:2794.92 +IOPATH B[9] O[18] 1206.83:2110.03:3250.9 1263.38:2208.91:3403.24 +IOPATH B[9] O[19] 1372.67:2400:3697.65 1432.88:2505.26:3859.83 +IOPATH B[9] O[20] 1466.16:2563.46:3949.49 1505.64:2632.48:4055.83 +IOPATH B[9] O[21] 1516.91:2652.18:4086.18 1570.33:2745.59:4230.1 +IOPATH B[9] O[22] 1550.78:2711.39:4177.41 1607.16:2809.99:4329.31 +IOPATH B[9] O[23] 1584.53:2770.41:4268.34 1639.08:2865.8:4415.3 +IOPATH B[9] O[24] 1838.73:3214.86:4953.11 1911.55:3342.18:5149.25 +IOPATH B[9] O[25] 1882.98:3292.23:5072.3 1940.55:3392.88:5227.37 +IOPATH B[9] O[26] 1940.83:3393.37:5228.12 1994.82:3487.77:5373.57 +IOPATH B[9] O[27] 1993.61:3485.65:5370.31 2046:3577.25:5511.43 +IOPATH B[9] O[28] 1962.93:3432.01:5287.65 2019.47:3530.88:5439.98 +IOPATH B[9] O[29] 2034.05:3556.36:5479.25 2089.25:3652.87:5627.94 +IOPATH B[9] O[30] 2080.72:3637.97:5604.97 2146.9:3753.66:5783.22 +IOPATH B[9] O[31] 2119.46:3705.69:5709.31 2181.17:3813.59:5875.55 +IOPATH B[10] O[18] 1070.93:1872.42:2884.82 1127.48:1971.3:3037.15 +IOPATH B[10] O[19] 1236.77:2162.38:3331.55 1296.97:2267.64:3493.73 +IOPATH B[10] O[20] 1355.65:2370.24:3651.8 1395.13:2439.26:3758.14 +IOPATH B[10] O[21] 1406.39:2458.96:3788.49 1459.82:2552.37:3932.41 +IOPATH B[10] O[22] 1440.26:2518.17:3879.72 1496.65:2616.77:4031.62 +IOPATH B[10] O[23] 1489.94:2605.03:4013.54 1531.48:2677.66:4125.43 +IOPATH B[10] O[24] 1774.86:3103.18:4781.04 1847.67:3230.49:4977.19 +IOPATH B[10] O[25] 1819.11:3180.55:4900.23 1876.67:3281.2:5055.3 +IOPATH B[10] O[26] 1876.95:3281.69:5056.06 1930.95:3376.09:5201.5 +IOPATH B[10] O[27] 1929.73:3373.97:5198.24 1982.12:3465.57:5339.36 +IOPATH B[10] O[28] 1899.05:3320.32:5115.59 1955.6:3419.19:5267.91 +IOPATH B[10] O[29] 1970.18:3444.68:5307.18 2025.38:3541.19:5455.88 +IOPATH B[10] O[30] 2016.85:3526.28:5432.9 2083.02:3641.98:5611.16 +IOPATH B[10] O[31] 2055.58:3594.01:5537.25 2117.3:3701.91:5703.48 +IOPATH B[11] O[18] 981.118:1715.4:2642.89 1037.67:1814.28:2795.23 +IOPATH B[11] O[19] 1263.57:2209.24:3403.76 1311.3:2292.69:3532.32 +IOPATH B[11] O[20] 1419.13:2481.22:3822.79 1458.61:2550.24:3929.13 +IOPATH B[11] O[21] 1469.87:2569.94:3959.48 1523.3:2663.35:4103.4 +IOPATH B[11] O[22] 1503.74:2629.16:4050.71 1560.13:2727.75:4202.61 +IOPATH B[11] O[23] 1553.41:2716.01:4184.52 1594.95:2788.64:4296.42 +IOPATH B[11] O[24] 1838.33:3214.16:4952.03 1911.15:3341.48:5148.17 +IOPATH B[11] O[25] 1882.58:3291.53:5071.22 1940.15:3392.18:5226.29 +IOPATH B[11] O[26] 1940.43:3392.67:5227.05 1994.42:3487.07:5372.49 +IOPATH B[11] O[27] 1993.21:3484.95:5369.23 2045.6:3576.55:5510.35 +IOPATH B[11] O[28] 1962.53:3431.31:5286.57 2019.07:3530.18:5438.9 +IOPATH B[11] O[29] 2033.65:3555.66:5478.17 2088.85:3652.17:5626.86 +IOPATH B[11] O[30] 2080.32:3637.27:5603.89 2146.5:3752.96:5782.14 +IOPATH B[11] O[31] 2119.06:3704.99:5708.24 2180.77:3812.89:5874.47 +IOPATH B[12] O[20] 1233.92:2157.41:3323.89 1273.4:2226.43:3430.23 +IOPATH B[12] O[21] 1284.67:2246.13:3460.58 1338.09:2339.54:3604.5 +IOPATH B[12] O[22] 1344.43:2350.61:3621.56 1406.18:2458.57:3787.9 +IOPATH B[12] O[23] 1443.55:2523.92:3888.58 1485.09:2596.55:4000.47 +IOPATH B[12] O[24] 1728.47:3022.08:4656.08 1801.28:3149.39:4852.23 +IOPATH B[12] O[25] 1772.72:3099.44:4775.27 1830.28:3200.09:4930.34 +IOPATH B[12] O[26] 1830.56:3200.58:4931.1 1884.56:3294.98:5076.54 +IOPATH B[12] O[27] 1883.35:3292.86:5073.28 1935.74:3384.46:5214.4 +IOPATH B[12] O[28] 1852.66:3239.22:4990.63 1909.21:3338.09:5142.95 +IOPATH B[12] O[29] 1923.79:3363.57:5182.22 1978.99:3460.09:5330.92 +IOPATH B[12] O[30] 1970.46:3445.18:5307.94 2036.63:3560.87:5486.2 +IOPATH B[12] O[31] 2009.19:3512.9:5412.29 2070.91:3620.8:5578.52 +IOPATH B[13] O[20] 1204.3:2105.61:3244.08 1243.77:2174.63:3350.42 +IOPATH B[13] O[21] 1255.04:2194.33:3380.77 1308.47:2287.74:3524.69 +IOPATH B[13] O[22] 1340.11:2343.06:3609.92 1401.85:2451.02:3776.25 +IOPATH B[13] O[23] 1438.87:2515.74:3875.97 1480.41:2588.36:3987.86 +IOPATH B[13] O[24] 1723.79:3013.89:4643.47 1796.6:3141.2:4839.62 +IOPATH B[13] O[25] 1768.04:3091.25:4762.66 1825.6:3191.9:4917.73 +IOPATH B[13] O[26] 1825.88:3192.4:4918.49 1879.87:3286.8:5063.93 +IOPATH B[13] O[27] 1878.66:3284.68:5060.67 1931.05:3376.28:5201.79 +IOPATH B[13] O[28] 1867.08:3264.43:5029.47 1923.63:3363.3:5181.8 +IOPATH B[13] O[29] 1938.2:3388.78:5221.06 1993.41:3485.3:5369.75 +IOPATH B[13] O[30] 1984.88:3470.38:5346.78 2051.05:3586.08:5525.03 +IOPATH B[13] O[31] 2023.61:3538.11:5451.12 2085.32:3646.01:5617.36 +IOPATH B[14] O[22] 1082.59:1892.82:2916.25 1144.34:2000.77:3082.57 +IOPATH B[14] O[23] 1154.87:2019.19:3110.95 1196.42:2091.83:3222.86 +IOPATH B[14] O[24] 1439.79:2517.35:3878.45 1512.61:2644.66:4074.6 +IOPATH B[14] O[25] 1484.04:2594.71:3997.64 1541.61:2695.36:4152.72 +IOPATH B[14] O[26] 1541.89:2695.86:4153.47 1595.88:2790.26:4298.92 +IOPATH B[14] O[27] 1594.67:2788.14:4295.65 1647.06:2879.74:4436.78 +IOPATH B[14] O[28] 1700.74:2973.6:4581.38 1757.29:3072.47:4733.72 +IOPATH B[14] O[29] 1771.87:3097.95:4772.98 1827.07:3194.46:4921.67 +IOPATH B[14] O[30] 1818.54:3179.55:4898.7 1884.71:3295.25:5076.95 +IOPATH B[14] O[31] 1857.27:3247.28:5003.04 1918.98:3355.18:5169.28 +IOPATH B[15] O[22] 1234.08:2157.68:3324.31 1295.82:2265.63:3490.64 +IOPATH B[15] O[23] 1306.38:2284.1:3519.08 1347.93:2356.73:3630.99 +IOPATH B[15] O[24] 1644.82:2875.82:4430.74 1704.77:2980.64:4592.24 +IOPATH B[15] O[25] 1741.43:3044.75:4691 1804.65:3155.27:4861.28 +IOPATH B[15] O[26] 1811.26:3166.83:4879.1 1869.47:3268.61:5035.91 +IOPATH B[15] O[27] 1864.04:3259.11:5021.28 1916.43:3350.71:5162.4 +IOPATH B[15] O[28] 1989.98:3479.31:5360.53 2046.54:3578.19:5512.87 +IOPATH B[15] O[29] 2061.11:3603.66:5552.12 2116.31:3700.18:5700.82 +IOPATH B[15] O[30] 2107.78:3685.27:5677.85 2173.95:3800.96:5856.1 +IOPATH B[15] O[31] 2146.52:3752.99:5782.19 2208.23:3860.89:5948.43 CELL SB_MAC16_MUL_U_16X16_ALL_PIPELINE -HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 -HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 -HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 -HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 -HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 -HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 -HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 -HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 -HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 -HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 -HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 -HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 -HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 -HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 -HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 -HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 -HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 -HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 -HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 -HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 -HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 -HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 -HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 -HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 -HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 -HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 -HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 -HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 -HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 -HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 -HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 -HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 -HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 -HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 -HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 -HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 -HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 -HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 -HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 -HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114 -HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 -HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 -HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212 -HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 -HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 -HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 -HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 -HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 -HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 -HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 -HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 -HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 -HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 -HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 -HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 -HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 -HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 -HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 -HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 -HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 -HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 -HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 -HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 -HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 -HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 -HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 -HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 -HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 -HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 -HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 -HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 -HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 -HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 -HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 -HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 -HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 -HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 -HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 -HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 -HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 -HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 -HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 -HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 -HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 -HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 -HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 -HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 -HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 -HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 -HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 -HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 -HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 -HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 -HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 -HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 -HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 -HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 -HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 -HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 -HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 -HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 -HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 -HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 -HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892 -HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9918:171.33:263.966 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5242:158.274:243.85 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0974:155.779:240.007 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.8773:148.401:228.639 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5024:128.513:197.998 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6549:167.244:257.671 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.2884:136.88:210.89 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.789:115.026:177.22 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1033:155.789:240.023 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.515:147.767:227.663 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -11405,1039 +11405,1390 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468 -SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 -SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 -SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 -SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 -SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 -SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 -SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 -SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 -SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 -SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 -SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094 -SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21 -SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562 -SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 -SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 -SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 -SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 -SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 -SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 -SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 -SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 -SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 -SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 -SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 -SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 -SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 -SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009 -SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 -SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 -SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313 -SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 -SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 -SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 -SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 -SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 -SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 -SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 -SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 -SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 -SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 -SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 -SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 -SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609 -SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 -SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 -SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91 -SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 -SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 -SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 -SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 -SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 -SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194 -SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 -SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 -SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224 -SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821 -SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 -SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 -SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 -SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 -SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 -SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9 -IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02 -IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09 -IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27 -IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72 -IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21 -IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11 -IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27 -IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18 -IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75 -IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14 -IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63 -IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74 -IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97 -IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98 -IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21 -IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61 -IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72 -IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53 -IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45 -IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33 -IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12 -IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56 -IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8 -IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15 -IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02 -IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9 -IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11 -IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68 -IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85 -IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62 -IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08 -IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91 +SETUP negedge:A[0] posedge:CLK -38.0891:-66.5954:-102.603 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3437:-40.8145:-62.8824 +SETUP negedge:A[9] posedge:CLK -7.81763:-13.6684:-21.0588 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6523:-36.1088:-55.6324 +SETUP negedge:A[12] posedge:CLK -18.7879:-32.849:-50.61 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0671:-19.3499:-29.8121 +SETUP negedge:A[15] posedge:CLK -20.8416:-36.4397:-56.1423 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.8056:-46.8673:-72.2079 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2836:-37.2126:-57.333 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4294:-42.7126:-65.8068 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44163:-16.5079:-25.4335 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4926:-37.5779:-57.8958 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7991:-18.8814:-29.0903 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.002:227.298:350.195 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.864:122.151:188.197 +SETUP negedge:C[15] posedge:CLK 67.4627:117.953:181.728 +SETUP negedge:CHOLD posedge:CLK 96.6446:168.975:260.337 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.35:126.498:194.893 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0887:94.5693:145.702 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.156:206.585:318.283 +SETUP negedge:OHOLDBOT posedge:CLK 153.917:269.111:414.616 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7831:-22.3501:-34.4345 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9393:-19.1264:-29.4678 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.95494:-17.4053:-26.8162 +SETUP posedge:A[13] posedge:CLK -13.6037:-23.7848:-36.645 +SETUP posedge:A[14] posedge:CLK -3.18064:-5.56108:-8.56789 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.1639:-31.7581:-48.9293 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6031:-22.0354:-33.9497 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.92189:1.61184:2.48335 +SETUP posedge:B[11] posedge:CLK -10.1433:-17.7346:-27.3235 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41363:4.22002:6.50174 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.714:190.076:292.849 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8087:102.822:158.417 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.3485:114.256:176.033 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.4215:121.377:187.005 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0112:113.666:175.125 +SETUP posedge:D[13] posedge:CLK 51.5379:90.1094:138.831 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.271:219.026:337.451 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.819:386.083:594.834 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.35:2282.3:3516.31 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.8:2751.65:4239.44 +IOPATH posedge:CLK O[0] 505.128:883.173:1360.69 565.925:989.471:1524.47 +IOPATH posedge:CLK O[1] 512.03:895.24:1379.29 555.639:971.486:1496.76 +IOPATH posedge:CLK O[2] 520.251:909.614:1401.43 570.968:998.288:1538.05 +IOPATH posedge:CLK O[3] 513.272:897.411:1382.63 545.132:953.116:1468.45 +IOPATH posedge:CLK O[4] 549.329:960.453:1479.76 586.181:1024.89:1579.03 +IOPATH posedge:CLK O[5] 500.634:875.315:1348.59 544.336:951.725:1466.31 +IOPATH posedge:CLK O[6] 490.881:858.263:1322.32 522.785:914.044:1408.26 +IOPATH posedge:CLK O[7] 478.072:835.867:1287.81 515.854:901.926:1389.59 +IOPATH posedge:CLK O[8] 674.898:1180:1818.01 670.032:1171.49:1804.9 +IOPATH posedge:CLK O[9] 653.434:1142.47:1760.19 615.644:1076.4:1658.4 +IOPATH posedge:CLK O[10] 578.183:1010.9:1557.49 568.193:993.436:1530.58 +IOPATH posedge:CLK O[11] 645.355:1128.35:1738.43 630.201:1101.85:1697.61 +IOPATH posedge:CLK O[12] 661.418:1156.43:1781.7 630.802:1102.9:1699.23 +IOPATH posedge:CLK O[13] 672.12:1175.14:1810.53 619.499:1083.14:1668.78 +IOPATH posedge:CLK O[14] 732.083:1279.98:1972.05 700.739:1225.18:1887.62 +IOPATH posedge:CLK O[15] 588.41:1028.78:1585.03 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[16] 745.682:1303.76:2008.69 712.739:1246.16:1919.95 +IOPATH posedge:CLK O[17] 610.665:1067.69:1644.98 629.208:1100.12:1694.94 +IOPATH posedge:CLK O[18] 574.777:1004.95:1548.31 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[19] 650.901:1138.04:1753.37 653.165:1142:1759.47 +IOPATH posedge:CLK O[20] 638.807:1116.9:1720.79 628.52:1098.91:1693.08 +IOPATH posedge:CLK O[21] 576.036:1007.15:1551.7 564.5:986.979:1520.63 +IOPATH posedge:CLK O[22] 548.074:958.26:1476.38 550.461:962.434:1482.81 +IOPATH posedge:CLK O[23] 550.909:963.216:1484.02 548.117:958.334:1476.49 +IOPATH posedge:CLK O[24] 578.097:1010.75:1557.25 569.923:996.461:1535.24 +IOPATH posedge:CLK O[25] 561.464:981.67:1512.45 561.993:982.595:1513.87 +IOPATH posedge:CLK O[26] 566.9:991.175:1527.09 564.885:987.652:1521.66 +IOPATH posedge:CLK O[27] 577.239:1009.25:1554.94 574.82:1005.02:1548.43 +IOPATH posedge:CLK O[28] 576.773:1008.44:1553.69 576.576:1008.09:1553.16 +IOPATH posedge:CLK O[29] 585.301:1023.35:1576.66 590.921:1033.17:1591.8 +IOPATH posedge:CLK O[30] 604.911:1057.63:1629.48 608.997:1064.78:1640.49 +IOPATH posedge:CLK O[31] 618.595:1081.56:1666.35 634.3:1109.02:1708.65 +IOPATH posedge:CLK SIGNEXTOUT 720.597:1259.9:1941.12 704.033:1230.94:1896.5 CELL SB_MAC16_MUL_U_16X16_BYPASS -IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6 -IOPATH A[0] O[0] 1549.1:1549.1:1549.1 1656.78:1656.78:1656.78 -IOPATH A[0] O[1] 1688.97:1688.97:1688.97 1784.31:1784.31:1784.31 -IOPATH A[0] O[2] 1894.83:1894.83:1894.83 1961.82:1961.82:1961.82 -IOPATH A[0] O[3] 2074.85:2074.85:2074.85 2136.79:2136.79:2136.79 -IOPATH A[0] O[4] 2231.67:2231.67:2231.67 2292.55:2292.55:2292.55 -IOPATH A[0] O[5] 2375.06:2375.06:2375.06 2441.76:2441.76:2441.76 -IOPATH A[0] O[6] 2443.34:2443.34:2443.34 2524.3:2524.3:2524.3 -IOPATH A[0] O[7] 2539.75:2539.75:2539.75 2595.87:2595.87:2595.87 -IOPATH A[0] O[8] 3731.6:3731.6:3731.6 3719.03:3719.03:3719.03 -IOPATH A[0] O[9] 3908.9:3908.9:3908.9 3821.4:3821.4:3821.4 -IOPATH A[0] O[10] 4162.63:4162.63:4162.63 4111.58:4111.58:4111.58 -IOPATH A[0] O[11] 4361:4361:4361 4338.01:4338.01:4338.01 -IOPATH A[0] O[12] 4654.99:4654.99:4654.99 4612.05:4612.05:4612.05 -IOPATH A[0] O[13] 4811.52:4811.52:4811.52 4697.13:4697.13:4697.13 -IOPATH A[0] O[14] 5050.74:5050.74:5050.74 4980.08:4980.08:4980.08 -IOPATH A[0] O[15] 4914.99:4914.99:4914.99 4926.27:4926.27:4926.27 -IOPATH A[0] O[16] 5259.21:5259.21:5259.21 5183.65:5183.65:5183.65 -IOPATH A[0] O[17] 5207.72:5207.72:5207.72 5236.81:5236.81:5236.81 -IOPATH A[0] O[18] 5220.94:5220.94:5220.94 5246.32:5246.32:5246.32 -IOPATH A[0] O[19] 5476.51:5476.51:5476.51 5481.77:5481.77:5481.77 -IOPATH A[0] O[20] 5351.23:5351.23:5351.23 5328.81:5328.81:5328.81 -IOPATH A[0] O[21] 5331.57:5331.57:5331.57 5308.41:5308.41:5308.41 -IOPATH A[0] O[22] 5390.88:5390.88:5390.88 5396.93:5396.93:5396.93 -IOPATH A[0] O[23] 5471.68:5471.68:5471.68 5466.5:5466.5:5466.5 -IOPATH A[0] O[24] 5368.09:5368.09:5368.09 5401.9:5401.9:5401.9 -IOPATH A[0] O[25] 5449.6:5449.6:5449.6 5502.33:5502.33:5502.33 -IOPATH A[0] O[26] 5534.12:5534.12:5534.12 5583.33:5583.33:5583.33 -IOPATH A[0] O[27] 5627.71:5627.71:5627.71 5673.84:5673.84:5673.84 -IOPATH A[0] O[28] 5572.98:5572.98:5572.98 5592.75:5592.75:5592.75 -IOPATH A[0] O[29] 5716.84:5716.84:5716.84 5735.24:5735.24:5735.24 -IOPATH A[0] O[30] 5874.75:5874.75:5874.75 5869.94:5869.94:5869.94 -IOPATH A[0] O[31] 6007.98:6007.98:6007.98 5976.11:5976.11:5976.11 -IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38 -IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36 -IOPATH A[1] O[1] 1657.24:1657.24:1657.24 1754.73:1754.73:1754.73 -IOPATH A[1] O[2] 2019.91:2019.91:2019.91 2086.89:2086.89:2086.89 -IOPATH A[1] O[3] 2199.93:2199.93:2199.93 2261.88:2261.88:2261.88 -IOPATH A[1] O[4] 2371.7:2371.7:2371.7 2408.82:2408.82:2408.82 -IOPATH A[1] O[5] 2633.2:2633.2:2633.2 2699.88:2699.88:2699.88 -IOPATH A[1] O[6] 2701.46:2701.46:2701.46 2782.42:2782.42:2782.42 -IOPATH A[1] O[7] 2797.87:2797.87:2797.87 2853.99:2853.99:2853.99 -IOPATH A[1] O[8] 3966.27:3966.27:3966.27 3953.71:3953.71:3953.71 -IOPATH A[1] O[9] 4143.58:4143.58:4143.58 4056.07:4056.07:4056.07 -IOPATH A[1] O[10] 4397.3:4397.3:4397.3 4346.26:4346.26:4346.26 -IOPATH A[1] O[11] 4595.67:4595.67:4595.67 4572.69:4572.69:4572.69 -IOPATH A[1] O[12] 4889.66:4889.66:4889.66 4846.73:4846.73:4846.73 -IOPATH A[1] O[13] 5046.19:5046.19:5046.19 4931.81:4931.81:4931.81 -IOPATH A[1] O[14] 5285.42:5285.42:5285.42 5214.76:5214.76:5214.76 -IOPATH A[1] O[15] 5149.67:5149.67:5149.67 5160.94:5160.94:5160.94 -IOPATH A[1] O[16] 5428.81:5428.81:5428.81 5353.25:5353.25:5353.25 -IOPATH A[1] O[17] 5377.32:5377.32:5377.32 5406.41:5406.41:5406.41 -IOPATH A[1] O[18] 5390.55:5390.55:5390.55 5415.92:5415.92:5415.92 -IOPATH A[1] O[19] 5646.11:5646.11:5646.11 5651.36:5651.36:5651.36 -IOPATH A[1] O[20] 5520.83:5520.83:5520.83 5498.41:5498.41:5498.41 -IOPATH A[1] O[21] 5501.17:5501.17:5501.17 5478.01:5478.01:5478.01 -IOPATH A[1] O[22] 5560.48:5560.48:5560.48 5566.53:5566.53:5566.53 -IOPATH A[1] O[23] 5641.28:5641.28:5641.28 5636.1:5636.1:5636.1 -IOPATH A[1] O[24] 5537.69:5537.69:5537.69 5571.5:5571.5:5571.5 -IOPATH A[1] O[25] 5619.2:5619.2:5619.2 5671.93:5671.93:5671.93 -IOPATH A[1] O[26] 5703.72:5703.72:5703.72 5752.93:5752.93:5752.93 -IOPATH A[1] O[27] 5797.31:5797.31:5797.31 5843.44:5843.44:5843.44 -IOPATH A[1] O[28] 5742.58:5742.58:5742.58 5762.35:5762.35:5762.35 -IOPATH A[1] O[29] 5886.44:5886.44:5886.44 5904.84:5904.84:5904.84 -IOPATH A[1] O[30] 6044.36:6044.36:6044.36 6039.54:6039.54:6039.54 -IOPATH A[1] O[31] 6177.58:6177.58:6177.58 6145.71:6145.71:6145.71 -IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81 -IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79 -IOPATH A[2] O[2] 2002.4:2002.4:2002.4 2069.37:2069.37:2069.37 -IOPATH A[2] O[3] 2182.41:2182.41:2182.41 2244.36:2244.36:2244.36 -IOPATH A[2] O[4] 2354.42:2354.42:2354.42 2391.3:2391.3:2391.3 -IOPATH A[2] O[5] 2615.92:2615.92:2615.92 2682.6:2682.6:2682.6 -IOPATH A[2] O[6] 2684.18:2684.18:2684.18 2765.14:2765.14:2765.14 -IOPATH A[2] O[7] 2780.59:2780.59:2780.59 2836.71:2836.71:2836.71 -IOPATH A[2] O[8] 3972.09:3972.09:3972.09 3959.53:3959.53:3959.53 -IOPATH A[2] O[9] 4149.4:4149.4:4149.4 4061.89:4061.89:4061.89 -IOPATH A[2] O[10] 4403.12:4403.12:4403.12 4352.08:4352.08:4352.08 -IOPATH A[2] O[11] 4601.5:4601.5:4601.5 4578.51:4578.51:4578.51 -IOPATH A[2] O[12] 4895.48:4895.48:4895.48 4852.55:4852.55:4852.55 -IOPATH A[2] O[13] 5052.01:5052.01:5052.01 4937.63:4937.63:4937.63 -IOPATH A[2] O[14] 5291.24:5291.24:5291.24 5220.58:5220.58:5220.58 -IOPATH A[2] O[15] 5155.49:5155.49:5155.49 5166.76:5166.76:5166.76 -IOPATH A[2] O[16] 5434.63:5434.63:5434.63 5359.07:5359.07:5359.07 -IOPATH A[2] O[17] 5383.14:5383.14:5383.14 5412.24:5412.24:5412.24 -IOPATH A[2] O[18] 5396.37:5396.37:5396.37 5421.75:5421.75:5421.75 -IOPATH A[2] O[19] 5651.93:5651.93:5651.93 5657.19:5657.19:5657.19 -IOPATH A[2] O[20] 5526.65:5526.65:5526.65 5504.23:5504.23:5504.23 -IOPATH A[2] O[21] 5506.99:5506.99:5506.99 5483.83:5483.83:5483.83 -IOPATH A[2] O[22] 5566.3:5566.3:5566.3 5572.35:5572.35:5572.35 -IOPATH A[2] O[23] 5647.1:5647.1:5647.1 5641.92:5641.92:5641.92 -IOPATH A[2] O[24] 5543.51:5543.51:5543.51 5577.32:5577.32:5577.32 -IOPATH A[2] O[25] 5625.02:5625.02:5625.02 5677.75:5677.75:5677.75 -IOPATH A[2] O[26] 5709.54:5709.54:5709.54 5758.76:5758.76:5758.76 -IOPATH A[2] O[27] 5803.13:5803.13:5803.13 5849.26:5849.26:5849.26 -IOPATH A[2] O[28] 5748.4:5748.4:5748.4 5768.17:5768.17:5768.17 -IOPATH A[2] O[29] 5892.26:5892.26:5892.26 5910.66:5910.66:5910.66 -IOPATH A[2] O[30] 6050.18:6050.18:6050.18 6045.36:6045.36:6045.36 -IOPATH A[2] O[31] 6183.4:6183.4:6183.4 6151.53:6151.53:6151.53 -IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6 -IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59 -IOPATH A[3] O[3] 1970.38:1970.38:1970.38 2006.5:2006.5:2006.5 -IOPATH A[3] O[4] 2381.31:2381.31:2381.31 2416.53:2416.53:2416.53 -IOPATH A[3] O[5] 2642.81:2642.81:2642.81 2709.49:2709.49:2709.49 -IOPATH A[3] O[6] 2711.07:2711.07:2711.07 2792.03:2792.03:2792.03 -IOPATH A[3] O[7] 2807.48:2807.48:2807.48 2863.6:2863.6:2863.6 -IOPATH A[3] O[8] 4029.52:4029.52:4029.52 4016.95:4016.95:4016.95 -IOPATH A[3] O[9] 4206.82:4206.82:4206.82 4119.32:4119.32:4119.32 -IOPATH A[3] O[10] 4460.54:4460.54:4460.54 4409.5:4409.5:4409.5 -IOPATH A[3] O[11] 4658.92:4658.92:4658.92 4635.93:4635.93:4635.93 -IOPATH A[3] O[12] 4952.91:4952.91:4952.91 4909.97:4909.97:4909.97 -IOPATH A[3] O[13] 5109.44:5109.44:5109.44 4995.05:4995.05:4995.05 -IOPATH A[3] O[14] 5348.66:5348.66:5348.66 5278:5278:5278 -IOPATH A[3] O[15] 5212.91:5212.91:5212.91 5224.18:5224.18:5224.18 -IOPATH A[3] O[16] 5492.05:5492.05:5492.05 5416.49:5416.49:5416.49 -IOPATH A[3] O[17] 5440.56:5440.56:5440.56 5469.66:5469.66:5469.66 -IOPATH A[3] O[18] 5453.79:5453.79:5453.79 5479.17:5479.17:5479.17 -IOPATH A[3] O[19] 5709.35:5709.35:5709.35 5714.61:5714.61:5714.61 -IOPATH A[3] O[20] 5584.07:5584.07:5584.07 5561.65:5561.65:5561.65 -IOPATH A[3] O[21] 5564.41:5564.41:5564.41 5541.25:5541.25:5541.25 -IOPATH A[3] O[22] 5623.73:5623.73:5623.73 5629.77:5629.77:5629.77 -IOPATH A[3] O[23] 5704.53:5704.53:5704.53 5699.34:5699.34:5699.34 -IOPATH A[3] O[24] 5600.94:5600.94:5600.94 5634.74:5634.74:5634.74 -IOPATH A[3] O[25] 5682.45:5682.45:5682.45 5735.18:5735.18:5735.18 -IOPATH A[3] O[26] 5766.96:5766.96:5766.96 5816.18:5816.18:5816.18 -IOPATH A[3] O[27] 5860.55:5860.55:5860.55 5906.68:5906.68:5906.68 -IOPATH A[3] O[28] 5805.82:5805.82:5805.82 5825.59:5825.59:5825.59 -IOPATH A[3] O[29] 5949.69:5949.69:5949.69 5968.08:5968.08:5968.08 -IOPATH A[3] O[30] 6107.6:6107.6:6107.6 6102.78:6102.78:6102.78 -IOPATH A[3] O[31] 6240.82:6240.82:6240.82 6208.95:6208.95:6208.95 -IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79 -IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77 -IOPATH A[4] O[4] 2416.7:2416.7:2416.7 2451.92:2451.92:2451.92 -IOPATH A[4] O[5] 2678.2:2678.2:2678.2 2744.88:2744.88:2744.88 -IOPATH A[4] O[6] 2746.46:2746.46:2746.46 2827.42:2827.42:2827.42 -IOPATH A[4] O[7] 2842.87:2842.87:2842.87 2898.99:2898.99:2898.99 -IOPATH A[4] O[8] 4199.31:4199.31:4199.31 4186.74:4186.74:4186.74 -IOPATH A[4] O[9] 4376.62:4376.62:4376.62 4289.11:4289.11:4289.11 -IOPATH A[4] O[10] 4630.34:4630.34:4630.34 4579.29:4579.29:4579.29 -IOPATH A[4] O[11] 4828.71:4828.71:4828.71 4805.72:4805.72:4805.72 -IOPATH A[4] O[12] 5122.7:5122.7:5122.7 5079.76:5079.76:5079.76 -IOPATH A[4] O[13] 5279.23:5279.23:5279.23 5164.84:5164.84:5164.84 -IOPATH A[4] O[14] 5518.45:5518.45:5518.45 5447.79:5447.79:5447.79 -IOPATH A[4] O[15] 5382.7:5382.7:5382.7 5393.98:5393.98:5393.98 -IOPATH A[4] O[16] 5661.84:5661.84:5661.84 5586.28:5586.28:5586.28 -IOPATH A[4] O[17] 5610.35:5610.35:5610.35 5639.45:5639.45:5639.45 -IOPATH A[4] O[18] 5623.58:5623.58:5623.58 5648.96:5648.96:5648.96 -IOPATH A[4] O[19] 5879.15:5879.15:5879.15 5884.4:5884.4:5884.4 -IOPATH A[4] O[20] 5753.86:5753.86:5753.86 5731.44:5731.44:5731.44 -IOPATH A[4] O[21] 5734.2:5734.2:5734.2 5711.05:5711.05:5711.05 -IOPATH A[4] O[22] 5793.52:5793.52:5793.52 5799.56:5799.56:5799.56 -IOPATH A[4] O[23] 5874.32:5874.32:5874.32 5869.13:5869.13:5869.13 -IOPATH A[4] O[24] 5770.73:5770.73:5770.73 5804.54:5804.54:5804.54 -IOPATH A[4] O[25] 5852.24:5852.24:5852.24 5904.97:5904.97:5904.97 -IOPATH A[4] O[26] 5936.75:5936.75:5936.75 5985.97:5985.97:5985.97 -IOPATH A[4] O[27] 6030.34:6030.34:6030.34 6076.47:6076.47:6076.47 -IOPATH A[4] O[28] 5975.62:5975.62:5975.62 5995.39:5995.39:5995.39 -IOPATH A[4] O[29] 6119.48:6119.48:6119.48 6137.88:6137.88:6137.88 -IOPATH A[4] O[30] 6277.39:6277.39:6277.39 6272.57:6272.57:6272.57 -IOPATH A[4] O[31] 6410.62:6410.62:6410.62 6378.75:6378.75:6378.75 -IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71 -IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7 -IOPATH A[5] O[5] 2580.88:2580.88:2580.88 2622.4:2622.4:2622.4 -IOPATH A[5] O[6] 2766.69:2766.69:2766.69 2856.4:2856.4:2856.4 -IOPATH A[5] O[7] 3014.08:3014.08:3014.08 3044.8:3044.8:3044.8 -IOPATH A[5] O[8] 4433.6:4433.6:4433.6 4421.03:4421.03:4421.03 -IOPATH A[5] O[9] 4610.91:4610.91:4610.91 4523.4:4523.4:4523.4 -IOPATH A[5] O[10] 4864.63:4864.63:4864.63 4813.59:4813.59:4813.59 -IOPATH A[5] O[11] 5063:5063:5063 5040.01:5040.01:5040.01 -IOPATH A[5] O[12] 5356.99:5356.99:5356.99 5314.06:5314.06:5314.06 -IOPATH A[5] O[13] 5513.52:5513.52:5513.52 5399.14:5399.14:5399.14 -IOPATH A[5] O[14] 5752.74:5752.74:5752.74 5682.08:5682.08:5682.08 -IOPATH A[5] O[15] 5617:5617:5617 5628.27:5628.27:5628.27 -IOPATH A[5] O[16] 5896.14:5896.14:5896.14 5820.58:5820.58:5820.58 -IOPATH A[5] O[17] 5844.64:5844.64:5844.64 5873.74:5873.74:5873.74 -IOPATH A[5] O[18] 5857.87:5857.87:5857.87 5883.25:5883.25:5883.25 -IOPATH A[5] O[19] 6113.44:6113.44:6113.44 6118.69:6118.69:6118.69 -IOPATH A[5] O[20] 5988.15:5988.15:5988.15 5965.74:5965.74:5965.74 -IOPATH A[5] O[21] 5968.49:5968.49:5968.49 5945.34:5945.34:5945.34 -IOPATH A[5] O[22] 6027.81:6027.81:6027.81 6033.86:6033.86:6033.86 -IOPATH A[5] O[23] 6108.61:6108.61:6108.61 6103.43:6103.43:6103.43 -IOPATH A[5] O[24] 6005.02:6005.02:6005.02 6038.83:6038.83:6038.83 -IOPATH A[5] O[25] 6086.53:6086.53:6086.53 6139.26:6139.26:6139.26 -IOPATH A[5] O[26] 6171.05:6171.05:6171.05 6220.26:6220.26:6220.26 -IOPATH A[5] O[27] 6264.64:6264.64:6264.64 6310.77:6310.77:6310.77 -IOPATH A[5] O[28] 6209.91:6209.91:6209.91 6229.68:6229.68:6229.68 -IOPATH A[5] O[29] 6353.77:6353.77:6353.77 6372.17:6372.17:6372.17 -IOPATH A[5] O[30] 6511.68:6511.68:6511.68 6506.87:6506.87:6506.87 -IOPATH A[5] O[31] 6644.91:6644.91:6644.91 6613.04:6613.04:6613.04 -IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11 -IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1 -IOPATH A[6] O[6] 2596.87:2596.87:2596.87 2686.57:2686.57:2686.57 -IOPATH A[6] O[7] 2782.25:2782.25:2782.25 2812.97:2812.97:2812.97 -IOPATH A[6] O[8] 4201.78:4201.78:4201.78 4189.21:4189.21:4189.21 -IOPATH A[6] O[9] 4379.08:4379.08:4379.08 4291.58:4291.58:4291.58 -IOPATH A[6] O[10] 4632.8:4632.8:4632.8 4581.76:4581.76:4581.76 -IOPATH A[6] O[11] 4831.18:4831.18:4831.18 4808.19:4808.19:4808.19 -IOPATH A[6] O[12] 5125.17:5125.17:5125.17 5082.23:5082.23:5082.23 -IOPATH A[6] O[13] 5281.7:5281.7:5281.7 5167.31:5167.31:5167.31 -IOPATH A[6] O[14] 5520.92:5520.92:5520.92 5450.26:5450.26:5450.26 -IOPATH A[6] O[15] 5385.17:5385.17:5385.17 5396.44:5396.44:5396.44 -IOPATH A[6] O[16] 5664.31:5664.31:5664.31 5588.75:5588.75:5588.75 -IOPATH A[6] O[17] 5612.82:5612.82:5612.82 5641.92:5641.92:5641.92 -IOPATH A[6] O[18] 5626.05:5626.05:5626.05 5651.43:5651.43:5651.43 -IOPATH A[6] O[19] 5881.61:5881.61:5881.61 5886.87:5886.87:5886.87 -IOPATH A[6] O[20] 5756.33:5756.33:5756.33 5733.91:5733.91:5733.91 -IOPATH A[6] O[21] 5736.67:5736.67:5736.67 5713.51:5713.51:5713.51 -IOPATH A[6] O[22] 5795.99:5795.99:5795.99 5802.03:5802.03:5802.03 -IOPATH A[6] O[23] 5876.79:5876.79:5876.79 5871.6:5871.6:5871.6 -IOPATH A[6] O[24] 5773.2:5773.2:5773.2 5807:5807:5807 -IOPATH A[6] O[25] 5854.7:5854.7:5854.7 5907.44:5907.44:5907.44 -IOPATH A[6] O[26] 5939.22:5939.22:5939.22 5988.44:5988.44:5988.44 -IOPATH A[6] O[27] 6032.81:6032.81:6032.81 6078.94:6078.94:6078.94 -IOPATH A[6] O[28] 5978.08:5978.08:5978.08 5997.85:5997.85:5997.85 -IOPATH A[6] O[29] 6121.95:6121.95:6121.95 6140.35:6140.35:6140.35 -IOPATH A[6] O[30] 6279.86:6279.86:6279.86 6275.04:6275.04:6275.04 -IOPATH A[6] O[31] 6413.09:6413.09:6413.09 6381.21:6381.21:6381.21 -IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89 -IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88 -IOPATH A[7] O[7] 2828.52:2828.52:2828.52 2859.24:2859.24:2859.24 -IOPATH A[7] O[8] 4248.05:4248.05:4248.05 4235.48:4235.48:4235.48 -IOPATH A[7] O[9] 4425.35:4425.35:4425.35 4337.85:4337.85:4337.85 -IOPATH A[7] O[10] 4679.07:4679.07:4679.07 4628.03:4628.03:4628.03 -IOPATH A[7] O[11] 4877.45:4877.45:4877.45 4854.46:4854.46:4854.46 -IOPATH A[7] O[12] 5171.44:5171.44:5171.44 5128.5:5128.5:5128.5 -IOPATH A[7] O[13] 5327.97:5327.97:5327.97 5213.58:5213.58:5213.58 -IOPATH A[7] O[14] 5567.19:5567.19:5567.19 5496.53:5496.53:5496.53 -IOPATH A[7] O[15] 5431.44:5431.44:5431.44 5442.71:5442.71:5442.71 -IOPATH A[7] O[16] 5710.58:5710.58:5710.58 5635.02:5635.02:5635.02 -IOPATH A[7] O[17] 5659.09:5659.09:5659.09 5688.19:5688.19:5688.19 -IOPATH A[7] O[18] 5672.32:5672.32:5672.32 5697.7:5697.7:5697.7 -IOPATH A[7] O[19] 5927.88:5927.88:5927.88 5933.14:5933.14:5933.14 -IOPATH A[7] O[20] 5802.6:5802.6:5802.6 5780.18:5780.18:5780.18 -IOPATH A[7] O[21] 5782.94:5782.94:5782.94 5759.78:5759.78:5759.78 -IOPATH A[7] O[22] 5842.26:5842.26:5842.26 5848.3:5848.3:5848.3 -IOPATH A[7] O[23] 5923.06:5923.06:5923.06 5917.87:5917.87:5917.87 -IOPATH A[7] O[24] 5819.47:5819.47:5819.47 5853.28:5853.28:5853.28 -IOPATH A[7] O[25] 5900.98:5900.98:5900.98 5953.71:5953.71:5953.71 -IOPATH A[7] O[26] 5985.49:5985.49:5985.49 6034.71:6034.71:6034.71 -IOPATH A[7] O[27] 6079.08:6079.08:6079.08 6125.21:6125.21:6125.21 -IOPATH A[7] O[28] 6024.35:6024.35:6024.35 6044.12:6044.12:6044.12 -IOPATH A[7] O[29] 6168.22:6168.22:6168.22 6186.62:6186.62:6186.62 -IOPATH A[7] O[30] 6326.13:6326.13:6326.13 6321.31:6321.31:6321.31 -IOPATH A[7] O[31] 6459.36:6459.36:6459.36 6427.48:6427.48:6427.48 -IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46 -IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45 -IOPATH A[8] O[8] 2181.52:2181.52:2181.52 2215.34:2215.34:2215.34 -IOPATH A[8] O[9] 2377.27:2377.27:2377.27 2316.55:2316.55:2316.55 -IOPATH A[8] O[10] 2663.39:2663.39:2663.39 2637.24:2637.24:2637.24 -IOPATH A[8] O[11] 2914.52:2914.52:2914.52 2893.91:2893.91:2893.91 -IOPATH A[8] O[12] 3233.33:3233.33:3233.33 3223.97:3223.97:3223.97 -IOPATH A[8] O[13] 3394.97:3394.97:3394.97 3309.05:3309.05:3309.05 -IOPATH A[8] O[14] 3637.35:3637.35:3637.35 3582.02:3582.02:3582.02 -IOPATH A[8] O[15] 3501.6:3501.6:3501.6 3518.35:3518.35:3518.35 -IOPATH A[8] O[16] 4088.57:4088.57:4088.57 3984.7:3984.7:3984.7 -IOPATH A[8] O[17] 4058.87:4058.87:4058.87 4087.96:4087.96:4087.96 -IOPATH A[8] O[18] 4096.3:4096.3:4096.3 4121.68:4121.68:4121.68 -IOPATH A[8] O[19] 4351.87:4351.87:4351.87 4357.12:4357.12:4357.12 -IOPATH A[8] O[20] 4639.93:4639.93:4639.93 4626.83:4626.83:4626.83 -IOPATH A[8] O[21] 4620.27:4620.27:4620.27 4597.11:4597.11:4597.11 -IOPATH A[8] O[22] 4679.58:4679.58:4679.58 4685.63:4685.63:4685.63 -IOPATH A[8] O[23] 4760.38:4760.38:4760.38 4755.2:4755.2:4755.2 -IOPATH A[8] O[24] 5017.18:5017.18:5017.18 5003.42:5003.42:5003.42 -IOPATH A[8] O[25] 5114.37:5114.37:5114.37 5116.67:5116.67:5116.67 -IOPATH A[8] O[26] 5212.48:5212.48:5212.48 5211.22:5211.22:5211.22 -IOPATH A[8] O[27] 5317.11:5317.11:5317.11 5313.03:5313.03:5313.03 -IOPATH A[8] O[28] 5334.01:5334.01:5334.01 5329.04:5329.04:5329.04 -IOPATH A[8] O[29] 5477.88:5477.88:5477.88 5484.89:5484.89:5484.89 -IOPATH A[8] O[30] 5635.79:5635.79:5635.79 5630.97:5630.97:5630.97 -IOPATH A[8] O[31] 5769.02:5769.02:5769.02 5737.14:5737.14:5737.14 -IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48 -IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47 -IOPATH A[9] O[9] 2347.44:2347.44:2347.44 2286.72:2286.72:2286.72 -IOPATH A[9] O[10] 2882.62:2882.62:2882.62 2856.46:2856.46:2856.46 -IOPATH A[9] O[11] 3133.74:3133.74:3133.74 3113.13:3113.13:3113.13 -IOPATH A[9] O[12] 3452.55:3452.55:3452.55 3443.19:3443.19:3443.19 -IOPATH A[9] O[13] 3595.63:3595.63:3595.63 3528.27:3528.27:3528.27 -IOPATH A[9] O[14] 3823:3823:3823 3801.24:3801.24:3801.24 -IOPATH A[9] O[15] 3687.25:3687.25:3687.25 3736.22:3736.22:3736.22 -IOPATH A[9] O[16] 4344.87:4344.87:4344.87 4241.01:4241.01:4241.01 -IOPATH A[9] O[17] 4315.17:4315.17:4315.17 4344.26:4344.26:4344.26 -IOPATH A[9] O[18] 4352.6:4352.6:4352.6 4377.99:4377.99:4377.99 -IOPATH A[9] O[19] 4608.17:4608.17:4608.17 4613.42:4613.42:4613.42 -IOPATH A[9] O[20] 4896.23:4896.23:4896.23 4883.13:4883.13:4883.13 -IOPATH A[9] O[21] 4876.57:4876.57:4876.57 4853.41:4853.41:4853.41 -IOPATH A[9] O[22] 4935.89:4935.89:4935.89 4941.93:4941.93:4941.93 -IOPATH A[9] O[23] 5016.68:5016.68:5016.68 5011.5:5011.5:5011.5 -IOPATH A[9] O[24] 5273.48:5273.48:5273.48 5259.72:5259.72:5259.72 -IOPATH A[9] O[25] 5370.67:5370.67:5370.67 5372.97:5372.97:5372.97 -IOPATH A[9] O[26] 5468.78:5468.78:5468.78 5467.52:5467.52:5467.52 -IOPATH A[9] O[27] 5573.41:5573.41:5573.41 5569.34:5569.34:5569.34 -IOPATH A[9] O[28] 5590.31:5590.31:5590.31 5585.34:5585.34:5585.34 -IOPATH A[9] O[29] 5734.18:5734.18:5734.18 5741.19:5741.19:5741.19 -IOPATH A[9] O[30] 5892.09:5892.09:5892.09 5887.27:5887.27:5887.27 -IOPATH A[9] O[31] 6025.32:6025.32:6025.32 5993.44:5993.44:5993.44 -IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54 -IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52 -IOPATH A[10] O[10] 2783.46:2783.46:2783.46 2757.31:2757.31:2757.31 -IOPATH A[10] O[11] 3034.59:3034.59:3034.59 3013.97:3013.97:3013.97 -IOPATH A[10] O[12] 3353.39:3353.39:3353.39 3344.04:3344.04:3344.04 -IOPATH A[10] O[13] 3496.48:3496.48:3496.48 3429.12:3429.12:3429.12 -IOPATH A[10] O[14] 3722.22:3722.22:3722.22 3702.08:3702.08:3702.08 -IOPATH A[10] O[15] 3575.27:3575.27:3575.27 3637.07:3637.07:3637.07 -IOPATH A[10] O[16] 4278.17:4278.17:4278.17 4174.31:4174.31:4174.31 -IOPATH A[10] O[17] 4248.47:4248.47:4248.47 4277.56:4277.56:4277.56 -IOPATH A[10] O[18] 4285.9:4285.9:4285.9 4311.29:4311.29:4311.29 -IOPATH A[10] O[19] 4541.47:4541.47:4541.47 4546.72:4546.72:4546.72 -IOPATH A[10] O[20] 4829.53:4829.53:4829.53 4816.43:4816.43:4816.43 -IOPATH A[10] O[21] 4809.87:4809.87:4809.87 4786.71:4786.71:4786.71 -IOPATH A[10] O[22] 4869.19:4869.19:4869.19 4875.23:4875.23:4875.23 -IOPATH A[10] O[23] 4949.99:4949.99:4949.99 4944.8:4944.8:4944.8 -IOPATH A[10] O[24] 5206.78:5206.78:5206.78 5193.02:5193.02:5193.02 -IOPATH A[10] O[25] 5303.97:5303.97:5303.97 5306.27:5306.27:5306.27 -IOPATH A[10] O[26] 5402.08:5402.08:5402.08 5400.82:5400.82:5400.82 -IOPATH A[10] O[27] 5506.71:5506.71:5506.71 5502.64:5502.64:5502.64 -IOPATH A[10] O[28] 5523.61:5523.61:5523.61 5518.65:5518.65:5518.65 -IOPATH A[10] O[29] 5667.48:5667.48:5667.48 5674.49:5674.49:5674.49 -IOPATH A[10] O[30] 5825.39:5825.39:5825.39 5820.57:5820.57:5820.57 -IOPATH A[10] O[31] 5958.62:5958.62:5958.62 5926.74:5926.74:5926.74 -IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17 -IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16 -IOPATH A[11] O[11] 2810.63:2810.63:2810.63 2790.01:2790.01:2790.01 -IOPATH A[11] O[12] 3165.32:3165.32:3165.32 3120.07:3120.07:3120.07 -IOPATH A[11] O[13] 3393.61:3393.61:3393.61 3284.97:3284.97:3284.97 -IOPATH A[11] O[14] 3657.25:3657.25:3657.25 3594.18:3594.18:3594.18 -IOPATH A[11] O[15] 3521.5:3521.5:3521.5 3538.24:3538.24:3538.24 -IOPATH A[11] O[16] 4262.01:4262.01:4262.01 4158.14:4158.14:4158.14 -IOPATH A[11] O[17] 4232.31:4232.31:4232.31 4261.4:4261.4:4261.4 -IOPATH A[11] O[18] 4269.74:4269.74:4269.74 4295.12:4295.12:4295.12 -IOPATH A[11] O[19] 4525.31:4525.31:4525.31 4530.56:4530.56:4530.56 -IOPATH A[11] O[20] 4813.37:4813.37:4813.37 4800.27:4800.27:4800.27 -IOPATH A[11] O[21] 4793.71:4793.71:4793.71 4770.55:4770.55:4770.55 -IOPATH A[11] O[22] 4853.02:4853.02:4853.02 4859.07:4859.07:4859.07 -IOPATH A[11] O[23] 4933.82:4933.82:4933.82 4928.64:4928.64:4928.64 -IOPATH A[11] O[24] 5190.62:5190.62:5190.62 5176.86:5176.86:5176.86 -IOPATH A[11] O[25] 5287.81:5287.81:5287.81 5290.11:5290.11:5290.11 -IOPATH A[11] O[26] 5385.92:5385.92:5385.92 5384.66:5384.66:5384.66 -IOPATH A[11] O[27] 5490.55:5490.55:5490.55 5486.47:5486.47:5486.47 -IOPATH A[11] O[28] 5507.45:5507.45:5507.45 5502.48:5502.48:5502.48 -IOPATH A[11] O[29] 5651.32:5651.32:5651.32 5658.33:5658.33:5658.33 -IOPATH A[11] O[30] 5809.23:5809.23:5809.23 5804.41:5804.41:5804.41 -IOPATH A[11] O[31] 5942.46:5942.46:5942.46 5910.58:5910.58:5910.58 -IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14 -IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13 -IOPATH A[12] O[12] 3271.17:3271.17:3271.17 3221.99:3221.99:3221.99 -IOPATH A[12] O[13] 3537.54:3537.54:3537.54 3428.9:3428.9:3428.9 -IOPATH A[12] O[14] 3801.18:3801.18:3801.18 3738.11:3738.11:3738.11 -IOPATH A[12] O[15] 3665.43:3665.43:3665.43 3682.17:3682.17:3682.17 -IOPATH A[12] O[16] 4479.32:4479.32:4479.32 4375.46:4375.46:4375.46 -IOPATH A[12] O[17] 4449.62:4449.62:4449.62 4478.71:4478.71:4478.71 -IOPATH A[12] O[18] 4487.05:4487.05:4487.05 4512.44:4512.44:4512.44 -IOPATH A[12] O[19] 4742.62:4742.62:4742.62 4747.87:4747.87:4747.87 -IOPATH A[12] O[20] 5030.68:5030.68:5030.68 5017.59:5017.59:5017.59 -IOPATH A[12] O[21] 5011.02:5011.02:5011.02 4987.86:4987.86:4987.86 -IOPATH A[12] O[22] 5070.34:5070.34:5070.34 5076.38:5076.38:5076.38 -IOPATH A[12] O[23] 5151.14:5151.14:5151.14 5145.95:5145.95:5145.95 -IOPATH A[12] O[24] 5407.93:5407.93:5407.93 5394.17:5394.17:5394.17 -IOPATH A[12] O[25] 5505.12:5505.12:5505.12 5507.42:5507.42:5507.42 -IOPATH A[12] O[26] 5603.24:5603.24:5603.24 5601.98:5601.98:5601.98 -IOPATH A[12] O[27] 5707.87:5707.87:5707.87 5703.79:5703.79:5703.79 -IOPATH A[12] O[28] 5724.77:5724.77:5724.77 5719.8:5719.8:5719.8 -IOPATH A[12] O[29] 5868.63:5868.63:5868.63 5875.64:5875.64:5875.64 -IOPATH A[12] O[30] 6026.54:6026.54:6026.54 6021.72:6021.72:6021.72 -IOPATH A[12] O[31] 6159.77:6159.77:6159.77 6127.89:6127.89:6127.89 -IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16 -IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14 -IOPATH A[13] O[13] 3177.9:3177.9:3177.9 3069.26:3069.26:3069.26 -IOPATH A[13] O[14] 3533.89:3533.89:3533.89 3476.13:3476.13:3476.13 -IOPATH A[13] O[15] 3495.17:3495.17:3495.17 3509.3:3509.3:3509.3 -IOPATH A[13] O[16] 4511.7:4511.7:4511.7 4407.84:4407.84:4407.84 -IOPATH A[13] O[17] 4482:4482:4482 4511.09:4511.09:4511.09 -IOPATH A[13] O[18] 4519.43:4519.43:4519.43 4544.82:4544.82:4544.82 -IOPATH A[13] O[19] 4775:4775:4775 4780.25:4780.25:4780.25 -IOPATH A[13] O[20] 5063.06:5063.06:5063.06 5049.97:5049.97:5049.97 -IOPATH A[13] O[21] 5043.4:5043.4:5043.4 5020.24:5020.24:5020.24 -IOPATH A[13] O[22] 5102.72:5102.72:5102.72 5108.76:5108.76:5108.76 -IOPATH A[13] O[23] 5183.52:5183.52:5183.52 5178.33:5178.33:5178.33 -IOPATH A[13] O[24] 5440.31:5440.31:5440.31 5426.55:5426.55:5426.55 -IOPATH A[13] O[25] 5537.5:5537.5:5537.5 5539.8:5539.8:5539.8 -IOPATH A[13] O[26] 5635.62:5635.62:5635.62 5634.36:5634.36:5634.36 -IOPATH A[13] O[27] 5740.25:5740.25:5740.25 5736.17:5736.17:5736.17 -IOPATH A[13] O[28] 5757.15:5757.15:5757.15 5752.18:5752.18:5752.18 -IOPATH A[13] O[29] 5901.01:5901.01:5901.01 5908.02:5908.02:5908.02 -IOPATH A[13] O[30] 6058.92:6058.92:6058.92 6054.1:6054.1:6054.1 -IOPATH A[13] O[31] 6192.15:6192.15:6192.15 6160.27:6160.27:6160.27 -IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98 -IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97 -IOPATH A[14] O[14] 3583.96:3583.96:3583.96 3526.21:3526.21:3526.21 -IOPATH A[14] O[15] 3527.17:3527.17:3527.17 3541.3:3541.3:3541.3 -IOPATH A[14] O[16] 4543.69:4543.69:4543.69 4439.83:4439.83:4439.83 -IOPATH A[14] O[17] 4513.99:4513.99:4513.99 4543.09:4543.09:4543.09 -IOPATH A[14] O[18] 4551.43:4551.43:4551.43 4576.81:4576.81:4576.81 -IOPATH A[14] O[19] 4806.99:4806.99:4806.99 4812.25:4812.25:4812.25 -IOPATH A[14] O[20] 5095.05:5095.05:5095.05 5081.96:5081.96:5081.96 -IOPATH A[14] O[21] 5075.39:5075.39:5075.39 5052.24:5052.24:5052.24 -IOPATH A[14] O[22] 5134.71:5134.71:5134.71 5140.75:5140.75:5140.75 -IOPATH A[14] O[23] 5215.51:5215.51:5215.51 5210.33:5210.33:5210.33 -IOPATH A[14] O[24] 5472.31:5472.31:5472.31 5458.55:5458.55:5458.55 -IOPATH A[14] O[25] 5569.49:5569.49:5569.49 5571.8:5571.8:5571.8 -IOPATH A[14] O[26] 5667.61:5667.61:5667.61 5666.35:5666.35:5666.35 -IOPATH A[14] O[27] 5772.24:5772.24:5772.24 5768.16:5768.16:5768.16 -IOPATH A[14] O[28] 5789.14:5789.14:5789.14 5784.17:5784.17:5784.17 -IOPATH A[14] O[29] 5933:5933:5933 5940.01:5940.01:5940.01 -IOPATH A[14] O[30] 6090.91:6090.91:6090.91 6086.1:6086.1:6086.1 -IOPATH A[14] O[31] 6224.14:6224.14:6224.14 6192.27:6192.27:6192.27 -IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64 -IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62 -IOPATH A[15] O[15] 4006.96:4006.96:4006.96 4021.09:4021.09:4021.09 -IOPATH A[15] O[16] 5023.49:5023.49:5023.49 4919.63:4919.63:4919.63 -IOPATH A[15] O[17] 4993.79:4993.79:4993.79 5022.88:5022.88:5022.88 -IOPATH A[15] O[18] 5031.22:5031.22:5031.22 5056.61:5056.61:5056.61 -IOPATH A[15] O[19] 5286.79:5286.79:5286.79 5292.04:5292.04:5292.04 -IOPATH A[15] O[20] 5574.85:5574.85:5574.85 5561.76:5561.76:5561.76 -IOPATH A[15] O[21] 5555.19:5555.19:5555.19 5532.03:5532.03:5532.03 -IOPATH A[15] O[22] 5614.51:5614.51:5614.51 5620.55:5620.55:5620.55 -IOPATH A[15] O[23] 5695.3:5695.3:5695.3 5690.12:5690.12:5690.12 -IOPATH A[15] O[24] 5952.1:5952.1:5952.1 5938.34:5938.34:5938.34 -IOPATH A[15] O[25] 6049.29:6049.29:6049.29 6051.59:6051.59:6051.59 -IOPATH A[15] O[26] 6147.41:6147.41:6147.41 6146.15:6146.15:6146.15 -IOPATH A[15] O[27] 6252.04:6252.04:6252.04 6247.96:6247.96:6247.96 -IOPATH A[15] O[28] 6268.94:6268.94:6268.94 6263.97:6263.97:6263.97 -IOPATH A[15] O[29] 6412.8:6412.8:6412.8 6419.81:6419.81:6419.81 -IOPATH A[15] O[30] 6570.71:6570.71:6570.71 6565.89:6565.89:6565.89 -IOPATH A[15] O[31] 6703.94:6703.94:6703.94 6672.06:6672.06:6672.06 -IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[0] O[0] 1682.06:1682.06:1682.06 1814.12:1814.12:1814.12 -IOPATH B[0] O[1] 1821.76:1821.76:1821.76 1932.21:1932.21:1932.21 -IOPATH B[0] O[2] 2156.84:2156.84:2156.84 2223.82:2223.82:2223.82 -IOPATH B[0] O[3] 2336.88:2336.88:2336.88 2398.82:2398.82:2398.82 -IOPATH B[0] O[4] 2579.98:2579.98:2579.98 2615.21:2615.21:2615.21 -IOPATH B[0] O[5] 2841.48:2841.48:2841.48 2908.17:2908.17:2908.17 -IOPATH B[0] O[6] 2909.74:2909.74:2909.74 2990.7:2990.7:2990.7 -IOPATH B[0] O[7] 3006.16:3006.16:3006.16 3062.28:3062.28:3062.28 -IOPATH B[0] O[8] 4381.87:4381.87:4381.87 4369.3:4369.3:4369.3 -IOPATH B[0] O[9] 4559.18:4559.18:4559.18 4471.67:4471.67:4471.67 -IOPATH B[0] O[10] 4812.9:4812.9:4812.9 4761.85:4761.85:4761.85 -IOPATH B[0] O[11] 5011.27:5011.27:5011.27 4988.28:4988.28:4988.28 -IOPATH B[0] O[12] 5305.26:5305.26:5305.26 5262.32:5262.32:5262.32 -IOPATH B[0] O[13] 5461.79:5461.79:5461.79 5347.4:5347.4:5347.4 -IOPATH B[0] O[14] 5701.01:5701.01:5701.01 5630.35:5630.35:5630.35 -IOPATH B[0] O[15] 5565.26:5565.26:5565.26 5576.54:5576.54:5576.54 -IOPATH B[0] O[16] 5844.41:5844.41:5844.41 5768.84:5768.84:5768.84 -IOPATH B[0] O[17] 5792.91:5792.91:5792.91 5822.01:5822.01:5822.01 -IOPATH B[0] O[18] 5806.14:5806.14:5806.14 5831.52:5831.52:5831.52 -IOPATH B[0] O[19] 6061.71:6061.71:6061.71 6066.96:6066.96:6066.96 -IOPATH B[0] O[20] 5936.43:5936.43:5936.43 5914.01:5914.01:5914.01 -IOPATH B[0] O[21] 5916.76:5916.76:5916.76 5893.61:5893.61:5893.61 -IOPATH B[0] O[22] 5976.08:5976.08:5976.08 5982.13:5982.13:5982.13 -IOPATH B[0] O[23] 6056.88:6056.88:6056.88 6051.7:6051.7:6051.7 -IOPATH B[0] O[24] 5953.29:5953.29:5953.29 5987.1:5987.1:5987.1 -IOPATH B[0] O[25] 6034.8:6034.8:6034.8 6087.53:6087.53:6087.53 -IOPATH B[0] O[26] 6119.31:6119.31:6119.31 6168.53:6168.53:6168.53 -IOPATH B[0] O[27] 6212.91:6212.91:6212.91 6259.03:6259.03:6259.03 -IOPATH B[0] O[28] 6158.18:6158.18:6158.18 6177.95:6177.95:6177.95 -IOPATH B[0] O[29] 6302.04:6302.04:6302.04 6320.44:6320.44:6320.44 -IOPATH B[0] O[30] 6459.95:6459.95:6459.95 6455.14:6455.14:6455.14 -IOPATH B[0] O[31] 6593.18:6593.18:6593.18 6561.31:6561.31:6561.31 -IOPATH B[1] O[0] 1774.62:1774.62:1774.62 1865.9:1865.9:1865.9 -IOPATH B[1] O[1] 1914.39:1914.39:1914.39 2009.73:2009.73:2009.73 -IOPATH B[1] O[2] 2421.78:2421.78:2421.78 2488.78:2488.78:2488.78 -IOPATH B[1] O[3] 2601.82:2601.82:2601.82 2663.77:2663.77:2663.77 -IOPATH B[1] O[4] 2868.23:2868.23:2868.23 2903.46:2903.46:2903.46 -IOPATH B[1] O[5] 3129.73:3129.73:3129.73 3196.42:3196.42:3196.42 -IOPATH B[1] O[6] 3197.99:3197.99:3197.99 3278.95:3278.95:3278.95 -IOPATH B[1] O[7] 3294.41:3294.41:3294.41 3350.53:3350.53:3350.53 -IOPATH B[1] O[8] 4621.52:4621.52:4621.52 4608.96:4608.96:4608.96 -IOPATH B[1] O[9] 4798.83:4798.83:4798.83 4711.33:4711.33:4711.33 -IOPATH B[1] O[10] 5052.55:5052.55:5052.55 5001.51:5001.51:5001.51 -IOPATH B[1] O[11] 5250.92:5250.92:5250.92 5227.94:5227.94:5227.94 -IOPATH B[1] O[12] 5544.91:5544.91:5544.91 5501.98:5501.98:5501.98 -IOPATH B[1] O[13] 5701.44:5701.44:5701.44 5587.06:5587.06:5587.06 -IOPATH B[1] O[14] 5940.67:5940.67:5940.67 5870.01:5870.01:5870.01 -IOPATH B[1] O[15] 5804.92:5804.92:5804.92 5816.19:5816.19:5816.19 -IOPATH B[1] O[16] 6084.06:6084.06:6084.06 6008.5:6008.5:6008.5 -IOPATH B[1] O[17] 6032.57:6032.57:6032.57 6061.67:6061.67:6061.67 -IOPATH B[1] O[18] 6045.8:6045.8:6045.8 6071.18:6071.18:6071.18 -IOPATH B[1] O[19] 6301.36:6301.36:6301.36 6306.62:6306.62:6306.62 -IOPATH B[1] O[20] 6176.08:6176.08:6176.08 6153.66:6153.66:6153.66 -IOPATH B[1] O[21] 6156.42:6156.42:6156.42 6133.26:6133.26:6133.26 -IOPATH B[1] O[22] 6215.74:6215.74:6215.74 6221.78:6221.78:6221.78 -IOPATH B[1] O[23] 6296.53:6296.53:6296.53 6291.35:6291.35:6291.35 -IOPATH B[1] O[24] 6192.95:6192.95:6192.95 6226.75:6226.75:6226.75 -IOPATH B[1] O[25] 6274.45:6274.45:6274.45 6327.18:6327.18:6327.18 -IOPATH B[1] O[26] 6358.97:6358.97:6358.97 6408.19:6408.19:6408.19 -IOPATH B[1] O[27] 6452.56:6452.56:6452.56 6498.69:6498.69:6498.69 -IOPATH B[1] O[28] 6397.83:6397.83:6397.83 6417.6:6417.6:6417.6 -IOPATH B[1] O[29] 6541.7:6541.7:6541.7 6560.09:6560.09:6560.09 -IOPATH B[1] O[30] 6699.61:6699.61:6699.61 6694.79:6694.79:6694.79 -IOPATH B[1] O[31] 6832.83:6832.83:6832.83 6800.96:6800.96:6800.96 -IOPATH B[2] O[2] 2213.84:2213.84:2213.84 2280.83:2280.83:2280.83 -IOPATH B[2] O[3] 2393.86:2393.86:2393.86 2455.8:2455.8:2455.8 -IOPATH B[2] O[4] 2666.85:2666.85:2666.85 2702.08:2702.08:2702.08 -IOPATH B[2] O[5] 2928.36:2928.36:2928.36 2995.04:2995.04:2995.04 -IOPATH B[2] O[6] 2996.62:2996.62:2996.62 3077.57:3077.57:3077.57 -IOPATH B[2] O[7] 3093.03:3093.03:3093.03 3149.15:3149.15:3149.15 -IOPATH B[2] O[8] 4512.85:4512.85:4512.85 4500.29:4500.29:4500.29 -IOPATH B[2] O[9] 4690.16:4690.16:4690.16 4602.66:4602.66:4602.66 -IOPATH B[2] O[10] 4943.88:4943.88:4943.88 4892.84:4892.84:4892.84 -IOPATH B[2] O[11] 5142.26:5142.26:5142.26 5119.27:5119.27:5119.27 -IOPATH B[2] O[12] 5436.24:5436.24:5436.24 5393.31:5393.31:5393.31 -IOPATH B[2] O[13] 5592.77:5592.77:5592.77 5478.39:5478.39:5478.39 -IOPATH B[2] O[14] 5832:5832:5832 5761.34:5761.34:5761.34 -IOPATH B[2] O[15] 5696.25:5696.25:5696.25 5707.52:5707.52:5707.52 -IOPATH B[2] O[16] 5975.39:5975.39:5975.39 5899.83:5899.83:5899.83 -IOPATH B[2] O[17] 5923.9:5923.9:5923.9 5953:5953:5953 -IOPATH B[2] O[18] 5937.13:5937.13:5937.13 5962.51:5962.51:5962.51 -IOPATH B[2] O[19] 6192.69:6192.69:6192.69 6197.95:6197.95:6197.95 -IOPATH B[2] O[20] 6067.41:6067.41:6067.41 6044.99:6044.99:6044.99 -IOPATH B[2] O[21] 6047.75:6047.75:6047.75 6024.59:6024.59:6024.59 -IOPATH B[2] O[22] 6107.06:6107.06:6107.06 6113.11:6113.11:6113.11 -IOPATH B[2] O[23] 6187.86:6187.86:6187.86 6182.68:6182.68:6182.68 -IOPATH B[2] O[24] 6084.27:6084.27:6084.27 6118.08:6118.08:6118.08 -IOPATH B[2] O[25] 6165.78:6165.78:6165.78 6218.51:6218.51:6218.51 -IOPATH B[2] O[26] 6250.3:6250.3:6250.3 6299.52:6299.52:6299.52 -IOPATH B[2] O[27] 6343.89:6343.89:6343.89 6390.02:6390.02:6390.02 -IOPATH B[2] O[28] 6289.16:6289.16:6289.16 6308.93:6308.93:6308.93 -IOPATH B[2] O[29] 6433.02:6433.02:6433.02 6451.42:6451.42:6451.42 -IOPATH B[2] O[30] 6590.94:6590.94:6590.94 6586.12:6586.12:6586.12 -IOPATH B[2] O[31] 6724.16:6724.16:6724.16 6692.29:6692.29:6692.29 -IOPATH B[3] O[2] 1999.72:1999.72:1999.72 2066.71:2066.71:2066.71 -IOPATH B[3] O[3] 2332.38:2332.38:2332.38 2368.52:2368.52:2368.52 -IOPATH B[3] O[4] 2739.29:2739.29:2739.29 2774.51:2774.51:2774.51 -IOPATH B[3] O[5] 3000.79:3000.79:3000.79 3067.47:3067.47:3067.47 -IOPATH B[3] O[6] 3069.05:3069.05:3069.05 3150.01:3150.01:3150.01 -IOPATH B[3] O[7] 3165.46:3165.46:3165.46 3221.58:3221.58:3221.58 -IOPATH B[3] O[8] 4585.29:4585.29:4585.29 4572.72:4572.72:4572.72 -IOPATH B[3] O[9] 4762.6:4762.6:4762.6 4675.09:4675.09:4675.09 -IOPATH B[3] O[10] 5016.32:5016.32:5016.32 4965.27:4965.27:4965.27 -IOPATH B[3] O[11] 5214.69:5214.69:5214.69 5191.7:5191.7:5191.7 -IOPATH B[3] O[12] 5508.68:5508.68:5508.68 5465.74:5465.74:5465.74 -IOPATH B[3] O[13] 5665.21:5665.21:5665.21 5550.82:5550.82:5550.82 -IOPATH B[3] O[14] 5904.43:5904.43:5904.43 5833.77:5833.77:5833.77 -IOPATH B[3] O[15] 5768.68:5768.68:5768.68 5779.96:5779.96:5779.96 -IOPATH B[3] O[16] 6047.82:6047.82:6047.82 5972.26:5972.26:5972.26 -IOPATH B[3] O[17] 5996.33:5996.33:5996.33 6025.43:6025.43:6025.43 -IOPATH B[3] O[18] 6009.56:6009.56:6009.56 6034.94:6034.94:6034.94 -IOPATH B[3] O[19] 6265.13:6265.13:6265.13 6270.38:6270.38:6270.38 -IOPATH B[3] O[20] 6139.84:6139.84:6139.84 6117.42:6117.42:6117.42 -IOPATH B[3] O[21] 6120.18:6120.18:6120.18 6097.03:6097.03:6097.03 -IOPATH B[3] O[22] 6179.5:6179.5:6179.5 6185.54:6185.54:6185.54 -IOPATH B[3] O[23] 6260.3:6260.3:6260.3 6255.11:6255.11:6255.11 -IOPATH B[3] O[24] 6156.71:6156.71:6156.71 6190.52:6190.52:6190.52 -IOPATH B[3] O[25] 6238.22:6238.22:6238.22 6290.95:6290.95:6290.95 -IOPATH B[3] O[26] 6322.73:6322.73:6322.73 6371.95:6371.95:6371.95 -IOPATH B[3] O[27] 6416.32:6416.32:6416.32 6462.45:6462.45:6462.45 -IOPATH B[3] O[28] 6388.59:6388.59:6388.59 6383.62:6383.62:6383.62 -IOPATH B[3] O[29] 6532.45:6532.45:6532.45 6539.46:6539.46:6539.46 -IOPATH B[3] O[30] 6690.36:6690.36:6690.36 6685.55:6685.55:6685.55 -IOPATH B[3] O[31] 6823.59:6823.59:6823.59 6791.72:6791.72:6791.72 -IOPATH B[4] O[4] 2410.2:2410.2:2410.2 2445.42:2445.42:2445.42 -IOPATH B[4] O[5] 2671.7:2671.7:2671.7 2738.38:2738.38:2738.38 -IOPATH B[4] O[6] 2739.96:2739.96:2739.96 2823.66:2823.66:2823.66 -IOPATH B[4] O[7] 2939:2939:2939 2969.72:2969.72:2969.72 -IOPATH B[4] O[8] 4358.52:4358.52:4358.52 4345.95:4345.95:4345.95 -IOPATH B[4] O[9] 4535.83:4535.83:4535.83 4448.32:4448.32:4448.32 -IOPATH B[4] O[10] 4789.55:4789.55:4789.55 4738.51:4738.51:4738.51 -IOPATH B[4] O[11] 4987.92:4987.92:4987.92 4964.93:4964.93:4964.93 -IOPATH B[4] O[12] 5281.91:5281.91:5281.91 5238.97:5238.97:5238.97 -IOPATH B[4] O[13] 5438.44:5438.44:5438.44 5324.06:5324.06:5324.06 -IOPATH B[4] O[14] 5677.67:5677.67:5677.67 5607:5607:5607 -IOPATH B[4] O[15] 5541.91:5541.91:5541.91 5553.19:5553.19:5553.19 -IOPATH B[4] O[16] 5821.06:5821.06:5821.06 5745.5:5745.5:5745.5 -IOPATH B[4] O[17] 5769.57:5769.57:5769.57 5798.66:5798.66:5798.66 -IOPATH B[4] O[18] 5782.79:5782.79:5782.79 5808.17:5808.17:5808.17 -IOPATH B[4] O[19] 6038.36:6038.36:6038.36 6043.61:6043.61:6043.61 -IOPATH B[4] O[20] 5913.08:5913.08:5913.08 5890.66:5890.66:5890.66 -IOPATH B[4] O[21] 5893.41:5893.41:5893.41 5870.26:5870.26:5870.26 -IOPATH B[4] O[22] 5952.73:5952.73:5952.73 5958.78:5958.78:5958.78 -IOPATH B[4] O[23] 6033.53:6033.53:6033.53 6028.35:6028.35:6028.35 -IOPATH B[4] O[24] 5929.94:5929.94:5929.94 5963.75:5963.75:5963.75 -IOPATH B[4] O[25] 6011.45:6011.45:6011.45 6064.18:6064.18:6064.18 -IOPATH B[4] O[26] 6095.97:6095.97:6095.97 6145.18:6145.18:6145.18 -IOPATH B[4] O[27] 6189.56:6189.56:6189.56 6235.69:6235.69:6235.69 -IOPATH B[4] O[28] 6134.83:6134.83:6134.83 6154.6:6154.6:6154.6 -IOPATH B[4] O[29] 6278.69:6278.69:6278.69 6297.09:6297.09:6297.09 -IOPATH B[4] O[30] 6436.6:6436.6:6436.6 6431.79:6431.79:6431.79 -IOPATH B[4] O[31] 6569.83:6569.83:6569.83 6537.96:6537.96:6537.96 -IOPATH B[5] O[4] 2382.45:2382.45:2382.45 2417.67:2417.67:2417.67 -IOPATH B[5] O[5] 2643.95:2643.95:2643.95 2710.63:2710.63:2710.63 -IOPATH B[5] O[6] 2829.29:2829.29:2829.29 2919:2919:2919 -IOPATH B[5] O[7] 3033.53:3033.53:3033.53 3064.25:3064.25:3064.25 -IOPATH B[5] O[8] 4453.05:4453.05:4453.05 4440.49:4440.49:4440.49 -IOPATH B[5] O[9] 4630.36:4630.36:4630.36 4542.85:4542.85:4542.85 -IOPATH B[5] O[10] 4884.08:4884.08:4884.08 4833.04:4833.04:4833.04 -IOPATH B[5] O[11] 5082.45:5082.45:5082.45 5059.46:5059.46:5059.46 -IOPATH B[5] O[12] 5376.44:5376.44:5376.44 5333.51:5333.51:5333.51 -IOPATH B[5] O[13] 5532.97:5532.97:5532.97 5418.59:5418.59:5418.59 -IOPATH B[5] O[14] 5772.19:5772.19:5772.19 5701.53:5701.53:5701.53 -IOPATH B[5] O[15] 5636.45:5636.45:5636.45 5647.72:5647.72:5647.72 -IOPATH B[5] O[16] 6014.26:6014.26:6014.26 5938.7:5938.7:5938.7 -IOPATH B[5] O[17] 5962.77:5962.77:5962.77 5991.86:5991.86:5991.86 -IOPATH B[5] O[18] 5975.99:5975.99:5975.99 6001.37:6001.37:6001.37 -IOPATH B[5] O[19] 6231.56:6231.56:6231.56 6236.82:6236.82:6236.82 -IOPATH B[5] O[20] 6106.28:6106.28:6106.28 6083.86:6083.86:6083.86 -IOPATH B[5] O[21] 6086.62:6086.62:6086.62 6063.46:6063.46:6063.46 -IOPATH B[5] O[22] 6145.93:6145.93:6145.93 6151.98:6151.98:6151.98 -IOPATH B[5] O[23] 6226.73:6226.73:6226.73 6221.55:6221.55:6221.55 -IOPATH B[5] O[24] 6123.14:6123.14:6123.14 6156.95:6156.95:6156.95 -IOPATH B[5] O[25] 6204.65:6204.65:6204.65 6257.38:6257.38:6257.38 -IOPATH B[5] O[26] 6289.17:6289.17:6289.17 6338.39:6338.39:6338.39 -IOPATH B[5] O[27] 6382.76:6382.76:6382.76 6428.89:6428.89:6428.89 -IOPATH B[5] O[28] 6328.03:6328.03:6328.03 6347.8:6347.8:6347.8 -IOPATH B[5] O[29] 6471.89:6471.89:6471.89 6490.29:6490.29:6490.29 -IOPATH B[5] O[30] 6629.8:6629.8:6629.8 6624.99:6624.99:6624.99 -IOPATH B[5] O[31] 6763.03:6763.03:6763.03 6731.16:6731.16:6731.16 -IOPATH B[6] O[6] 2385.26:2385.26:2385.26 2474.96:2474.96:2474.96 -IOPATH B[6] O[7] 2513.33:2513.33:2513.33 2571.54:2571.54:2571.54 -IOPATH B[6] O[8] 3932.85:3932.85:3932.85 3920.29:3920.29:3920.29 -IOPATH B[6] O[9] 4110.16:4110.16:4110.16 4022.66:4022.66:4022.66 -IOPATH B[6] O[10] 4369.61:4369.61:4369.61 4318.57:4318.57:4318.57 -IOPATH B[6] O[11] 4567.99:4567.99:4567.99 4545:4545:4545 -IOPATH B[6] O[12] 4861.98:4861.98:4861.98 4813.31:4813.31:4813.31 -IOPATH B[6] O[13] 5018.51:5018.51:5018.51 4900.68:4900.68:4900.68 -IOPATH B[6] O[14] 5257.73:5257.73:5257.73 5187.07:5187.07:5187.07 -IOPATH B[6] O[15] 5121.98:5121.98:5121.98 5133.25:5133.25:5133.25 -IOPATH B[6] O[16] 5689.37:5689.37:5689.37 5613.8:5613.8:5613.8 -IOPATH B[6] O[17] 5637.87:5637.87:5637.87 5666.97:5666.97:5666.97 -IOPATH B[6] O[18] 5651.1:5651.1:5651.1 5676.48:5676.48:5676.48 -IOPATH B[6] O[19] 5906.67:5906.67:5906.67 5911.92:5911.92:5911.92 -IOPATH B[6] O[20] 5781.39:5781.39:5781.39 5758.97:5758.97:5758.97 -IOPATH B[6] O[21] 5761.72:5761.72:5761.72 5738.57:5738.57:5738.57 -IOPATH B[6] O[22] 5821.04:5821.04:5821.04 5827.09:5827.09:5827.09 -IOPATH B[6] O[23] 5901.84:5901.84:5901.84 5896.66:5896.66:5896.66 -IOPATH B[6] O[24] 5798.25:5798.25:5798.25 5832.06:5832.06:5832.06 -IOPATH B[6] O[25] 5879.76:5879.76:5879.76 5932.49:5932.49:5932.49 -IOPATH B[6] O[26] 5964.27:5964.27:5964.27 6013.49:6013.49:6013.49 -IOPATH B[6] O[27] 6057.87:6057.87:6057.87 6103.99:6103.99:6103.99 -IOPATH B[6] O[28] 6003.14:6003.14:6003.14 6022.91:6022.91:6022.91 -IOPATH B[6] O[29] 6147:6147:6147 6165.4:6165.4:6165.4 -IOPATH B[6] O[30] 6304.91:6304.91:6304.91 6300.1:6300.1:6300.1 -IOPATH B[6] O[31] 6438.14:6438.14:6438.14 6406.27:6406.27:6406.27 -IOPATH B[7] O[6] 2278.12:2278.12:2278.12 2367.82:2367.82:2367.82 -IOPATH B[7] O[7] 2406.24:2406.24:2406.24 2464.4:2464.4:2464.4 -IOPATH B[7] O[8] 3981.01:3981.01:3981.01 3953.8:3953.8:3953.8 -IOPATH B[7] O[9] 4270.18:4270.18:4270.18 4163.29:4163.29:4163.29 -IOPATH B[7] O[10] 4561.14:4561.14:4561.14 4510.1:4510.1:4510.1 -IOPATH B[7] O[11] 4759.52:4759.52:4759.52 4736.53:4736.53:4736.53 -IOPATH B[7] O[12] 5053.5:5053.5:5053.5 5003.18:5003.18:5003.18 -IOPATH B[7] O[13] 5210.04:5210.04:5210.04 5092.21:5092.21:5092.21 -IOPATH B[7] O[14] 5449.26:5449.26:5449.26 5378.6:5378.6:5378.6 -IOPATH B[7] O[15] 5313.51:5313.51:5313.51 5324.78:5324.78:5324.78 -IOPATH B[7] O[16] 5880.9:5880.9:5880.9 5805.33:5805.33:5805.33 -IOPATH B[7] O[17] 5829.4:5829.4:5829.4 5858.5:5858.5:5858.5 -IOPATH B[7] O[18] 5842.63:5842.63:5842.63 5868.01:5868.01:5868.01 -IOPATH B[7] O[19] 6098.2:6098.2:6098.2 6103.45:6103.45:6103.45 -IOPATH B[7] O[20] 5972.91:5972.91:5972.91 5950.49:5950.49:5950.49 -IOPATH B[7] O[21] 5953.25:5953.25:5953.25 5930.1:5930.1:5930.1 -IOPATH B[7] O[22] 6012.57:6012.57:6012.57 6018.62:6018.62:6018.62 -IOPATH B[7] O[23] 6093.37:6093.37:6093.37 6088.19:6088.19:6088.19 -IOPATH B[7] O[24] 5989.78:5989.78:5989.78 6023.59:6023.59:6023.59 -IOPATH B[7] O[25] 6071.29:6071.29:6071.29 6124.02:6124.02:6124.02 -IOPATH B[7] O[26] 6155.8:6155.8:6155.8 6205.02:6205.02:6205.02 -IOPATH B[7] O[27] 6249.4:6249.4:6249.4 6295.52:6295.52:6295.52 -IOPATH B[7] O[28] 6194.67:6194.67:6194.67 6214.44:6214.44:6214.44 -IOPATH B[7] O[29] 6338.53:6338.53:6338.53 6356.93:6356.93:6356.93 -IOPATH B[7] O[30] 6496.44:6496.44:6496.44 6491.63:6491.63:6491.63 -IOPATH B[7] O[31] 6629.67:6629.67:6629.67 6597.8:6597.8:6597.8 -IOPATH B[8] O[8] 2283.47:2283.47:2283.47 2255.25:2255.25:2255.25 -IOPATH B[8] O[9] 2593.55:2593.55:2593.55 2465.28:2465.28:2465.28 -IOPATH B[8] O[10] 3104.28:3104.28:3104.28 3046.81:3046.81:3046.81 -IOPATH B[8] O[11] 3361.95:3361.95:3361.95 3314:3314:3314 -IOPATH B[8] O[12] 3639.48:3639.48:3639.48 3630.25:3630.25:3630.25 -IOPATH B[8] O[13] 3796.03:3796.03:3796.03 3715.33:3715.33:3715.33 -IOPATH B[8] O[14] 4055.67:4055.67:4055.67 3988.29:3988.29:3988.29 -IOPATH B[8] O[15] 3919.92:3919.92:3919.92 3931.2:3931.2:3931.2 -IOPATH B[8] O[16] 4628.9:4628.9:4628.9 4525.01:4525.01:4525.01 -IOPATH B[8] O[17] 4599.2:4599.2:4599.2 4628.29:4628.29:4628.29 -IOPATH B[8] O[18] 4612.43:4612.43:4612.43 4637.8:4637.8:4637.8 -IOPATH B[8] O[19] 4867.99:4867.99:4867.99 4873.25:4873.25:4873.25 -IOPATH B[8] O[20] 5146.21:5146.21:5146.21 5133.1:5133.1:5133.1 -IOPATH B[8] O[21] 5126.55:5126.55:5126.55 5103.39:5103.39:5103.39 -IOPATH B[8] O[22] 5185.87:5185.87:5185.87 5191.91:5191.91:5191.91 -IOPATH B[8] O[23] 5266.67:5266.67:5266.67 5261.48:5261.48:5261.48 -IOPATH B[8] O[24] 5391.81:5391.81:5391.81 5378.05:5378.05:5378.05 -IOPATH B[8] O[25] 5488.99:5488.99:5488.99 5491.3:5491.3:5491.3 -IOPATH B[8] O[26] 5587.11:5587.11:5587.11 5585.85:5585.85:5585.85 -IOPATH B[8] O[27] 5691.74:5691.74:5691.74 5687.66:5687.66:5687.66 -IOPATH B[8] O[28] 5802.5:5802.5:5802.5 5797.53:5797.53:5797.53 -IOPATH B[8] O[29] 5946.37:5946.37:5946.37 5953.38:5953.38:5953.38 -IOPATH B[8] O[30] 6104.28:6104.28:6104.28 6099.46:6099.46:6099.46 -IOPATH B[8] O[31] 6237.5:6237.5:6237.5 6205.63:6205.63:6205.63 -IOPATH B[9] O[8] 2527.03:2527.03:2527.03 2494.7:2494.7:2494.7 -IOPATH B[9] O[9] 2837.21:2837.21:2837.21 2708.94:2708.94:2708.94 -IOPATH B[9] O[10] 3396.5:3396.5:3396.5 3339.03:3339.03:3339.03 -IOPATH B[9] O[11] 3654.19:3654.19:3654.19 3606.24:3606.24:3606.24 -IOPATH B[9] O[12] 3931.72:3931.72:3931.72 3922.49:3922.49:3922.49 -IOPATH B[9] O[13] 4119.88:4119.88:4119.88 4007.57:4007.57:4007.57 -IOPATH B[9] O[14] 4383.54:4383.54:4383.54 4312.88:4312.88:4312.88 -IOPATH B[9] O[15] 4247.79:4247.79:4247.79 4259.06:4259.06:4259.06 -IOPATH B[9] O[16] 4956.82:4956.82:4956.82 4852.93:4852.93:4852.93 -IOPATH B[9] O[17] 4927.12:4927.12:4927.12 4956.21:4956.21:4956.21 -IOPATH B[9] O[18] 4940.34:4940.34:4940.34 4965.72:4965.72:4965.72 -IOPATH B[9] O[19] 5195.91:5195.91:5195.91 5201.17:5201.17:5201.17 -IOPATH B[9] O[20] 5474.13:5474.13:5474.13 5461.02:5461.02:5461.02 -IOPATH B[9] O[21] 5454.47:5454.47:5454.47 5431.31:5431.31:5431.31 -IOPATH B[9] O[22] 5513.79:5513.79:5513.79 5519.83:5519.83:5519.83 -IOPATH B[9] O[23] 5594.58:5594.58:5594.58 5589.4:5589.4:5589.4 -IOPATH B[9] O[24] 5719.72:5719.72:5719.72 5705.96:5705.96:5705.96 -IOPATH B[9] O[25] 5816.91:5816.91:5816.91 5819.22:5819.22:5819.22 -IOPATH B[9] O[26] 5915.03:5915.03:5915.03 5913.77:5913.77:5913.77 -IOPATH B[9] O[27] 6019.66:6019.66:6019.66 6015.58:6015.58:6015.58 -IOPATH B[9] O[28] 6130.42:6130.42:6130.42 6125.45:6125.45:6125.45 -IOPATH B[9] O[29] 6274.28:6274.28:6274.28 6281.3:6281.3:6281.3 -IOPATH B[9] O[30] 6432.2:6432.2:6432.2 6427.38:6427.38:6427.38 -IOPATH B[9] O[31] 6565.42:6565.42:6565.42 6533.55:6533.55:6533.55 -IOPATH B[10] O[10] 3137.58:3137.58:3137.58 3080.11:3080.11:3080.11 -IOPATH B[10] O[11] 3395.25:3395.25:3395.25 3347.3:3347.3:3347.3 -IOPATH B[10] O[12] 3706.5:3706.5:3706.5 3663.55:3663.55:3663.55 -IOPATH B[10] O[13] 3956.98:3956.98:3956.98 3812.73:3812.73:3812.73 -IOPATH B[10] O[14] 4220.64:4220.64:4220.64 4149.99:4149.99:4149.99 -IOPATH B[10] O[15] 4084.89:4084.89:4084.89 4096.16:4096.16:4096.16 -IOPATH B[10] O[16] 4793.27:4793.27:4793.27 4689.38:4689.38:4689.38 -IOPATH B[10] O[17] 4763.57:4763.57:4763.57 4792.67:4792.67:4792.67 -IOPATH B[10] O[18] 4776.8:4776.8:4776.8 4802.18:4802.18:4802.18 -IOPATH B[10] O[19] 5032.37:5032.37:5032.37 5037.62:5037.62:5037.62 -IOPATH B[10] O[20] 5310.59:5310.59:5310.59 5297.47:5297.47:5297.47 -IOPATH B[10] O[21] 5290.92:5290.92:5290.92 5267.77:5267.77:5267.77 -IOPATH B[10] O[22] 5350.24:5350.24:5350.24 5356.29:5356.29:5356.29 -IOPATH B[10] O[23] 5431.04:5431.04:5431.04 5425.86:5425.86:5425.86 -IOPATH B[10] O[24] 5556.18:5556.18:5556.18 5542.42:5542.42:5542.42 -IOPATH B[10] O[25] 5653.37:5653.37:5653.37 5655.67:5655.67:5655.67 -IOPATH B[10] O[26] 5751.48:5751.48:5751.48 5750.22:5750.22:5750.22 -IOPATH B[10] O[27] 5856.11:5856.11:5856.11 5852.03:5852.03:5852.03 -IOPATH B[10] O[28] 5966.88:5966.88:5966.88 5961.91:5961.91:5961.91 -IOPATH B[10] O[29] 6110.74:6110.74:6110.74 6117.75:6117.75:6117.75 -IOPATH B[10] O[30] 6268.65:6268.65:6268.65 6263.83:6263.83:6263.83 -IOPATH B[10] O[31] 6401.88:6401.88:6401.88 6370:6370:6370 -IOPATH B[11] O[10] 3082.13:3082.13:3082.13 3024.67:3024.67:3024.67 -IOPATH B[11] O[11] 3517.42:3517.42:3517.42 3469.47:3469.47:3469.47 -IOPATH B[11] O[12] 3860.56:3860.56:3860.56 3785.72:3785.72:3785.72 -IOPATH B[11] O[13] 4110.92:4110.92:4110.92 3966.67:3966.67:3966.67 -IOPATH B[11] O[14] 4374.58:4374.58:4374.58 4303.93:4303.93:4303.93 -IOPATH B[11] O[15] 4238.83:4238.83:4238.83 4250.11:4250.11:4250.11 -IOPATH B[11] O[16] 4945.82:4945.82:4945.82 4841.93:4841.93:4841.93 -IOPATH B[11] O[17] 4916.12:4916.12:4916.12 4945.21:4945.21:4945.21 -IOPATH B[11] O[18] 4929.35:4929.35:4929.35 4954.73:4954.73:4954.73 -IOPATH B[11] O[19] 5184.91:5184.91:5184.91 5190.17:5190.17:5190.17 -IOPATH B[11] O[20] 5463.13:5463.13:5463.13 5450.02:5450.02:5450.02 -IOPATH B[11] O[21] 5443.47:5443.47:5443.47 5420.32:5420.32:5420.32 -IOPATH B[11] O[22] 5502.79:5502.79:5502.79 5508.83:5508.83:5508.83 -IOPATH B[11] O[23] 5583.59:5583.59:5583.59 5578.4:5578.4:5578.4 -IOPATH B[11] O[24] 5708.73:5708.73:5708.73 5694.97:5694.97:5694.97 -IOPATH B[11] O[25] 5805.91:5805.91:5805.91 5808.22:5808.22:5808.22 -IOPATH B[11] O[26] 5904.03:5904.03:5904.03 5902.77:5902.77:5902.77 -IOPATH B[11] O[27] 6008.66:6008.66:6008.66 6004.58:6004.58:6004.58 -IOPATH B[11] O[28] 6119.42:6119.42:6119.42 6114.45:6114.45:6114.45 -IOPATH B[11] O[29] 6263.29:6263.29:6263.29 6270.3:6270.3:6270.3 -IOPATH B[11] O[30] 6421.2:6421.2:6421.2 6416.38:6416.38:6416.38 -IOPATH B[11] O[31] 6554.42:6554.42:6554.42 6522.55:6522.55:6522.55 -IOPATH B[12] O[12] 3436.98:3436.98:3436.98 3350.22:3350.22:3350.22 -IOPATH B[12] O[13] 3687.34:3687.34:3687.34 3543.1:3543.1:3543.1 -IOPATH B[12] O[14] 3983.81:3983.81:3983.81 3886.73:3886.73:3886.73 -IOPATH B[12] O[15] 3982.18:3982.18:3982.18 3968.39:3968.39:3968.39 -IOPATH B[12] O[16] 4695.28:4695.28:4695.28 4591.39:4591.39:4591.39 -IOPATH B[12] O[17] 4665.58:4665.58:4665.58 4694.67:4694.67:4694.67 -IOPATH B[12] O[18] 4678.81:4678.81:4678.81 4704.18:4704.18:4704.18 -IOPATH B[12] O[19] 4934.37:4934.37:4934.37 4939.63:4939.63:4939.63 -IOPATH B[12] O[20] 5212.59:5212.59:5212.59 5199.48:5199.48:5199.48 -IOPATH B[12] O[21] 5192.93:5192.93:5192.93 5169.77:5169.77:5169.77 -IOPATH B[12] O[22] 5252.25:5252.25:5252.25 5258.29:5258.29:5258.29 -IOPATH B[12] O[23] 5333.04:5333.04:5333.04 5327.86:5327.86:5327.86 -IOPATH B[12] O[24] 5458.18:5458.18:5458.18 5444.43:5444.43:5444.43 -IOPATH B[12] O[25] 5555.37:5555.37:5555.37 5557.68:5557.68:5557.68 -IOPATH B[12] O[26] 5653.49:5653.49:5653.49 5652.23:5652.23:5652.23 -IOPATH B[12] O[27] 5758.12:5758.12:5758.12 5754.04:5754.04:5754.04 -IOPATH B[12] O[28] 5868.88:5868.88:5868.88 5863.91:5863.91:5863.91 -IOPATH B[12] O[29] 6012.75:6012.75:6012.75 6019.76:6019.76:6019.76 -IOPATH B[12] O[30] 6170.66:6170.66:6170.66 6165.84:6165.84:6165.84 -IOPATH B[12] O[31] 6303.88:6303.88:6303.88 6272.01:6272.01:6272.01 -IOPATH B[13] O[12] 3439.8:3439.8:3439.8 3353.03:3353.03:3353.03 -IOPATH B[13] O[13] 3690.28:3690.28:3690.28 3546.03:3546.03:3546.03 -IOPATH B[13] O[14] 3987.65:3987.65:3987.65 3890.46:3890.46:3890.46 -IOPATH B[13] O[15] 3990.06:3990.06:3990.06 3976.28:3976.28:3976.28 -IOPATH B[13] O[16] 4703.16:4703.16:4703.16 4599.28:4599.28:4599.28 -IOPATH B[13] O[17] 4673.46:4673.46:4673.46 4702.56:4702.56:4702.56 -IOPATH B[13] O[18] 4686.69:4686.69:4686.69 4712.07:4712.07:4712.07 -IOPATH B[13] O[19] 4942.26:4942.26:4942.26 4947.51:4947.51:4947.51 -IOPATH B[13] O[20] 5220.48:5220.48:5220.48 5207.37:5207.37:5207.37 -IOPATH B[13] O[21] 5200.82:5200.82:5200.82 5177.66:5177.66:5177.66 -IOPATH B[13] O[22] 5260.13:5260.13:5260.13 5266.18:5266.18:5266.18 -IOPATH B[13] O[23] 5340.93:5340.93:5340.93 5335.75:5335.75:5335.75 -IOPATH B[13] O[24] 5508.39:5508.39:5508.39 5494.63:5494.63:5494.63 -IOPATH B[13] O[25] 5605.58:5605.58:5605.58 5607.89:5607.89:5607.89 -IOPATH B[13] O[26] 5703.7:5703.7:5703.7 5702.44:5702.44:5702.44 -IOPATH B[13] O[27] 5808.33:5808.33:5808.33 5804.25:5804.25:5804.25 -IOPATH B[13] O[28] 5919.09:5919.09:5919.09 5914.12:5914.12:5914.12 -IOPATH B[13] O[29] 6062.95:6062.95:6062.95 6069.97:6069.97:6069.97 -IOPATH B[13] O[30] 6220.87:6220.87:6220.87 6216.05:6216.05:6216.05 -IOPATH B[13] O[31] 6354.09:6354.09:6354.09 6322.22:6322.22:6322.22 -IOPATH B[14] O[14] 3524.28:3524.28:3524.28 3427.08:3427.08:3427.08 -IOPATH B[14] O[15] 3461.31:3461.31:3461.31 3447.53:3447.53:3447.53 -IOPATH B[14] O[16] 4174.41:4174.41:4174.41 4070.52:4070.52:4070.52 -IOPATH B[14] O[17] 4144.71:4144.71:4144.71 4173.81:4173.81:4173.81 -IOPATH B[14] O[18] 4157.94:4157.94:4157.94 4183.32:4183.32:4183.32 -IOPATH B[14] O[19] 4413.51:4413.51:4413.51 4418.76:4418.76:4418.76 -IOPATH B[14] O[20] 4691.73:4691.73:4691.73 4678.62:4678.62:4678.62 -IOPATH B[14] O[21] 4672.07:4672.07:4672.07 4648.91:4648.91:4648.91 -IOPATH B[14] O[22] 4731.39:4731.39:4731.39 4737.43:4737.43:4737.43 -IOPATH B[14] O[23] 4812.18:4812.18:4812.18 4807:4807:4807 -IOPATH B[14] O[24] 5200.54:5200.54:5200.54 5186.78:5186.78:5186.78 -IOPATH B[14] O[25] 5297.73:5297.73:5297.73 5300.03:5300.03:5300.03 -IOPATH B[14] O[26] 5395.84:5395.84:5395.84 5394.58:5394.58:5394.58 -IOPATH B[14] O[27] 5500.47:5500.47:5500.47 5496.39:5496.39:5496.39 -IOPATH B[14] O[28] 5611.23:5611.23:5611.23 5606.27:5606.27:5606.27 -IOPATH B[14] O[29] 5755.1:5755.1:5755.1 5762.11:5762.11:5762.11 -IOPATH B[14] O[30] 5913.01:5913.01:5913.01 5908.19:5908.19:5908.19 -IOPATH B[14] O[31] 6046.24:6046.24:6046.24 6014.36:6014.36:6014.36 -IOPATH B[15] O[14] 3692.79:3692.79:3692.79 3595.59:3595.59:3595.59 -IOPATH B[15] O[15] 3629.87:3629.87:3629.87 3616.09:3616.09:3616.09 -IOPATH B[15] O[16] 4432.11:4432.11:4432.11 4328.22:4328.22:4328.22 -IOPATH B[15] O[17] 4483.91:4483.91:4483.91 4485.47:4485.47:4485.47 -IOPATH B[15] O[18] 4521.15:4521.15:4521.15 4546.53:4546.53:4546.53 -IOPATH B[15] O[19] 4780.61:4780.61:4780.61 4785.86:4785.86:4785.86 -IOPATH B[15] O[20] 5088.28:5088.28:5088.28 5075.17:5075.17:5075.17 -IOPATH B[15] O[21] 5068.62:5068.62:5068.62 5045.46:5045.46:5045.46 -IOPATH B[15] O[22] 5127.94:5127.94:5127.94 5133.98:5133.98:5133.98 -IOPATH B[15] O[23] 5208.74:5208.74:5208.74 5203.55:5203.55:5203.55 -IOPATH B[15] O[24] 5651.62:5651.62:5651.62 5637.86:5637.86:5637.86 -IOPATH B[15] O[25] 5748.81:5748.81:5748.81 5751.11:5751.11:5751.11 -IOPATH B[15] O[26] 5846.92:5846.92:5846.92 5845.66:5845.66:5845.66 -IOPATH B[15] O[27] 5951.55:5951.55:5951.55 5947.47:5947.47:5947.47 -IOPATH B[15] O[28] 6062.32:6062.32:6062.32 6057.35:6057.35:6057.35 -IOPATH B[15] O[29] 6206.18:6206.18:6206.18 6213.19:6213.19:6213.19 -IOPATH B[15] O[30] 6364.09:6364.09:6364.09 6359.27:6359.27:6359.27 -IOPATH B[15] O[31] 6497.32:6497.32:6497.32 6465.45:6465.45:6465.45 +IOPATH A[0] ACCUMCO 725.168:1267.89:1953.43 950.218:1661.37:2559.66 +IOPATH A[0] CO 1006.6:1759.96:2711.55 1218.67:2130.73:3282.79 +IOPATH A[0] O[0] 761.656:1331.69:2051.72 814.596:1424.25:2194.33 +IOPATH A[0] O[1] 830.423:1451.92:2236.96 877.301:1533.88:2363.24 +IOPATH A[0] O[2] 931.638:1628.89:2509.61 964.577:1686.48:2598.34 +IOPATH A[0] O[3] 1020.15:1783.64:2748.04 1050.61:1836.9:2830.09 +IOPATH A[0] O[4] 1097.26:1918.46:2955.74 1127.19:1970.79:3036.38 +IOPATH A[0] O[5] 1167.76:2041.73:3145.66 1200.55:2099.06:3233.99 +IOPATH A[0] O[6] 1201.33:2100.42:3236.09 1241.13:2170.01:3343.31 +IOPATH A[0] O[7] 1248.73:2183.3:3363.78 1276.32:2231.54:3438.11 +IOPATH A[0] O[8] 1834.73:3207.87:4942.33 1828.55:3197.07:4925.68 +IOPATH A[0] O[9] 1921.91:3360.29:5177.16 1878.89:3285.07:5061.26 +IOPATH A[0] O[10] 2046.66:3578.4:5513.2 2021.56:3534.52:5445.6 +IOPATH A[0] O[11] 2144.19:3748.93:5775.94 2132.89:3729.17:5745.49 +IOPATH A[0] O[12] 2288.74:4001.66:6165.31 2267.63:3964.75:6108.45 +IOPATH A[0] O[13] 2365.7:4136.22:6372.63 2309.46:4037.89:6221.13 +IOPATH A[0] O[14] 2483.32:4341.87:6689.47 2448.58:4281.13:6595.89 +IOPATH A[0] O[15] 2416.58:4225.17:6509.68 2422.12:4234.87:6524.61 +IOPATH A[0] O[16] 2585.82:4521.08:6965.58 2548.67:4456.12:6865.5 +IOPATH A[0] O[17] 2560.5:4476.82:6897.38 2574.81:4501.83:6935.92 +IOPATH A[0] O[18] 2567.01:4488.19:6914.9 2579.49:4510.01:6948.51 +IOPATH A[0] O[19] 2692.66:4707.89:7253.38 2695.25:4712.4:7260.34 +IOPATH A[0] O[20] 2631.06:4600.18:7087.45 2620.04:4580.91:7057.76 +IOPATH A[0] O[21] 2621.4:4583.28:7061.41 2610.01:4563.38:7030.74 +IOPATH A[0] O[22] 2650.56:4634.28:7139.98 2653.53:4639.47:7147.98 +IOPATH A[0] O[23] 2690.29:4703.74:7246.99 2687.74:4699.28:7240.13 +IOPATH A[0] O[24] 2639.36:4614.68:7109.79 2655.98:4643.75:7154.57 +IOPATH A[0] O[25] 2679.43:4684.75:7217.74 2705.36:4730.08:7287.58 +IOPATH A[0] O[26] 2720.99:4757.41:7329.68 2745.18:4799.72:7394.87 +IOPATH A[0] O[27] 2767:4837.86:7453.64 2789.68:4877.52:7514.73 +IOPATH A[0] O[28] 2740.09:4790.81:7381.15 2749.81:4807.81:7407.34 +IOPATH A[0] O[29] 2810.83:4914.49:7571.69 2819.87:4930.3:7596.06 +IOPATH A[0] O[30] 2888.47:5050.24:7780.84 2886.1:5046.09:7774.46 +IOPATH A[0] O[31] 2953.97:5164.76:7957.29 2938.3:5137.36:7915.08 +IOPATH A[1] ACCUMCO 842.338:1472.76:2269.06 980.093:1713.61:2640.13 +IOPATH A[1] CO 1123.77:1964.82:3027.18 1248.54:2182.96:3363.27 +IOPATH A[1] O[1] 814.824:1424.65:2194.94 862.756:1508.45:2324.06 +IOPATH A[1] O[2] 993.141:1736.42:2675.28 1026.07:1794:2763.99 +IOPATH A[1] O[3] 1081.65:1891.17:2913.71 1112.11:1944.43:2995.75 +IOPATH A[1] O[4] 1166.1:2038.83:3141.2 1184.36:2070.74:3190.37 +IOPATH A[1] O[5] 1294.68:2263.63:3487.55 1327.46:2320.95:3575.87 +IOPATH A[1] O[6] 1328.24:2322.31:3577.96 1368.04:2391.91:3685.18 +IOPATH A[1] O[7] 1375.64:2405.19:3705.65 1403.24:2453.43:3779.98 +IOPATH A[1] O[8] 1950.12:3409.61:5253.14 1943.94:3398.8:5236.5 +IOPATH A[1] O[9] 2037.29:3562.03:5487.98 1994.27:3486.81:5372.08 +IOPATH A[1] O[10] 2162.04:3780.14:5824.02 2136.95:3736.26:5756.42 +IOPATH A[1] O[11] 2259.58:3950.67:6086.76 2248.27:3930.91:6056.31 +IOPATH A[1] O[12] 2404.12:4203.4:6476.13 2383.01:4166.49:6419.26 +IOPATH A[1] O[13] 2481.09:4337.96:6683.45 2424.84:4239.63:6531.95 +IOPATH A[1] O[14] 2598.71:4543.61:7000.29 2563.96:4482.87:6906.7 +IOPATH A[1] O[15] 2531.96:4426.91:6820.5 2537.5:4436.6:6835.43 +IOPATH A[1] O[16] 2669.21:4666.88:7190.2 2632.06:4601.92:7090.13 +IOPATH A[1] O[17] 2643.89:4622.61:7122.01 2658.2:4647.63:7160.55 +IOPATH A[1] O[18] 2650.4:4633.99:7139.53 2662.87:4655.8:7173.14 +IOPATH A[1] O[19] 2776.05:4853.68:7478.01 2778.63:4858.2:7484.97 +IOPATH A[1] O[20] 2714.45:4745.98:7312.08 2703.43:4726.71:7282.39 +IOPATH A[1] O[21] 2704.79:4729.08:7286.04 2693.4:4709.17:7255.37 +IOPATH A[1] O[22] 2733.95:4780.07:7364.6 2736.92:4785.27:7372.61 +IOPATH A[1] O[23] 2773.68:4849.53:7471.62 2771.13:4845.08:7464.75 +IOPATH A[1] O[24] 2722.74:4760.48:7334.42 2739.37:4789.54:7379.2 +IOPATH A[1] O[25] 2762.82:4830.55:7442.37 2788.75:4875.88:7512.21 +IOPATH A[1] O[26] 2804.37:4903.2:7554.31 2828.57:4945.51:7619.49 +IOPATH A[1] O[27] 2850.39:4983.66:7678.27 2873.07:5023.31:7739.36 +IOPATH A[1] O[28] 2823.48:4936.61:7605.78 2833.2:4953.61:7631.97 +IOPATH A[1] O[29] 2894.22:5060.28:7796.32 2903.26:5076.1:7820.69 +IOPATH A[1] O[30] 2971.86:5196.03:8005.47 2969.49:5191.89:7999.09 +IOPATH A[1] O[31] 3037.36:5310.56:8181.92 3021.69:5283.16:8139.7 +IOPATH A[2] ACCUMCO 809.798:1415.86:2181.4 915.404:1600.5:2465.88 +IOPATH A[2] CO 1091.23:1907.93:2939.52 1183.85:2069.86:3189.01 +IOPATH A[2] O[2] 984.528:1721.36:2652.08 1017.46:1778.94:2740.79 +IOPATH A[2] O[3] 1073.04:1876.11:2890.51 1103.5:1929.37:2972.55 +IOPATH A[2] O[4] 1157.61:2023.98:3118.32 1175.74:2055.68:3167.17 +IOPATH A[2] O[5] 1286.18:2248.78:3464.66 1318.97:2306.1:3552.98 +IOPATH A[2] O[6] 1319.74:2307.46:3555.07 1359.55:2377.05:3662.3 +IOPATH A[2] O[7] 1367.15:2390.34:3682.77 1394.74:2438.58:3757.1 +IOPATH A[2] O[8] 1952.98:3414.61:5260.85 1946.8:3403.81:5244.21 +IOPATH A[2] O[9] 2040.16:3567.03:5495.69 1997.13:3491.81:5379.79 +IOPATH A[2] O[10] 2164.9:3785.15:5831.73 2139.81:3741.27:5764.13 +IOPATH A[2] O[11] 2262.44:3955.68:6094.47 2251.14:3935.92:6064.02 +IOPATH A[2] O[12] 2406.99:4208.4:6483.84 2385.88:4171.49:6426.97 +IOPATH A[2] O[13] 2483.95:4342.97:6691.16 2427.71:4244.63:6539.66 +IOPATH A[2] O[14] 2601.57:4548.61:7008 2566.83:4487.87:6914.41 +IOPATH A[2] O[15] 2534.82:4431.92:6828.2 2540.37:4441.61:6843.14 +IOPATH A[2] O[16] 2672.07:4671.88:7197.92 2634.92:4606.92:7097.84 +IOPATH A[2] O[17] 2646.75:4627.62:7129.72 2661.06:4652.63:7168.26 +IOPATH A[2] O[18] 2653.26:4638.99:7147.24 2665.74:4660.81:7180.85 +IOPATH A[2] O[19] 2778.91:4858.69:7485.72 2781.5:4863.2:7492.68 +IOPATH A[2] O[20] 2717.31:4750.99:7319.79 2706.29:4731.71:7290.1 +IOPATH A[2] O[21] 2707.65:4734.08:7293.75 2696.26:4714.18:7263.08 +IOPATH A[2] O[22] 2736.81:4785.08:7372.31 2739.78:4790.27:7380.32 +IOPATH A[2] O[23] 2776.54:4854.54:7479.33 2773.99:4850.08:7472.46 +IOPATH A[2] O[24] 2725.61:4765.49:7342.13 2742.23:4794.55:7386.91 +IOPATH A[2] O[25] 2765.68:4835.55:7450.08 2791.61:4880.88:7519.92 +IOPATH A[2] O[26] 2807.24:4908.21:7562.02 2831.44:4950.52:7627.2 +IOPATH A[2] O[27] 2853.25:4988.66:7685.97 2875.93:5028.32:7747.07 +IOPATH A[2] O[28] 2826.34:4941.62:7613.49 2836.06:4958.61:7639.68 +IOPATH A[2] O[29] 2897.08:5065.29:7804.03 2906.12:5081.11:7828.4 +IOPATH A[2] O[30] 2974.72:5201.04:8013.18 2972.35:5196.9:8006.8 +IOPATH A[2] O[31] 3040.22:5315.56:8189.63 3024.55:5288.16:8147.41 +IOPATH A[3] ACCUMCO 777.105:1358.7:2093.33 890.717:1557.34:2399.38 +IOPATH A[3] CO 1058.54:1850.77:2851.46 1159.17:2026.7:3122.51 +IOPATH A[3] O[3] 968.787:1693.84:2609.68 986.546:1724.89:2657.52 +IOPATH A[3] O[4] 1170.83:2047.09:3153.93 1188.15:2077.37:3200.58 +IOPATH A[3] O[5] 1299.4:2271.89:3500.27 1332.19:2329.22:3588.59 +IOPATH A[3] O[6] 1332.96:2330.57:3590.68 1372.77:2400.17:3697.91 +IOPATH A[3] O[7] 1380.37:2413.45:3718.38 1407.96:2461.7:3792.71 +IOPATH A[3] O[8] 1981.21:3463.97:5336.91 1975.03:3453.17:5320.26 +IOPATH A[3] O[9] 2068.39:3616.4:5571.74 2025.36:3541.17:5455.85 +IOPATH A[3] O[10] 2193.14:3834.51:5907.78 2168.04:3790.63:5840.18 +IOPATH A[3] O[11] 2290.67:4005.04:6170.52 2279.37:3985.28:6140.07 +IOPATH A[3] O[12] 2435.22:4257.77:6559.89 2414.11:4220.86:6503.03 +IOPATH A[3] O[13] 2512.18:4392.33:6767.21 2455.94:4294:6615.71 +IOPATH A[3] O[14] 2629.8:4597.98:7084.05 2595.06:4537.23:6990.46 +IOPATH A[3] O[15] 2563.06:4481.28:6904.26 2568.6:4490.97:6919.19 +IOPATH A[3] O[16] 2700.3:4721.24:7273.97 2663.15:4656.29:7173.89 +IOPATH A[3] O[17] 2674.99:4676.98:7205.77 2689.29:4701.99:7244.31 +IOPATH A[3] O[18] 2681.49:4688.35:7223.29 2693.97:4710.17:7256.9 +IOPATH A[3] O[19] 2807.15:4908.05:7561.77 2809.73:4912.57:7568.73 +IOPATH A[3] O[20] 2745.55:4800.35:7395.84 2734.52:4781.08:7366.15 +IOPATH A[3] O[21] 2735.88:4783.45:7369.8 2724.5:4763.54:7339.13 +IOPATH A[3] O[22] 2765.04:4834.44:7448.36 2768.02:4839.64:7456.37 +IOPATH A[3] O[23] 2804.77:4903.9:7555.38 2802.22:4899.44:7548.51 +IOPATH A[3] O[24] 2753.84:4814.85:7418.18 2770.46:4843.91:7462.96 +IOPATH A[3] O[25] 2793.92:4884.92:7526.14 2819.84:4930.25:7595.98 +IOPATH A[3] O[26] 2835.47:4957.57:7638.07 2859.67:4999.88:7703.26 +IOPATH A[3] O[27] 2881.49:5038.03:7762.03 2904.17:5077.68:7823.12 +IOPATH A[3] O[28] 2854.58:4990.98:7689.54 2864.3:5007.97:7715.73 +IOPATH A[3] O[29] 2925.31:5114.65:7880.08 2934.36:5130.47:7904.45 +IOPATH A[3] O[30] 3002.95:5250.4:8089.23 3000.58:5246.26:8082.85 +IOPATH A[3] O[31] 3068.46:5364.93:8265.68 3052.79:5337.53:8223.47 +IOPATH A[4] ACCUMCO 788.202:1378.1:2123.23 985.703:1723.42:2655.25 +IOPATH A[4] CO 1069.64:1870.17:2881.35 1254.15:2192.77:3378.38 +IOPATH A[4] O[4] 1188.23:2077.52:3200.81 1205.55:2107.8:3247.46 +IOPATH A[4] O[5] 1316.8:2302.32:3547.15 1349.59:2359.64:3635.47 +IOPATH A[4] O[6] 1350.37:2361:3637.56 1390.17:2430.59:3744.79 +IOPATH A[4] O[7] 1397.77:2443.88:3765.26 1425.36:2492.12:3839.58 +IOPATH A[4] O[8] 2064.69:3609.94:5561.79 2058.52:3599.13:5545.14 +IOPATH A[4] O[9] 2151.87:3762.36:5796.63 2108.85:3687.14:5680.73 +IOPATH A[4] O[10] 2276.62:3980.47:6132.67 2251.52:3936.59:6065.06 +IOPATH A[4] O[11] 2374.15:4151:6395.4 2362.85:4131.24:6364.95 +IOPATH A[4] O[12] 2518.7:4403.73:6784.78 2497.59:4366.82:6727.91 +IOPATH A[4] O[13] 2595.66:4538.29:6992.09 2539.42:4439.96:6840.59 +IOPATH A[4] O[14] 2713.28:4743.94:7308.93 2678.54:4683.2:7215.35 +IOPATH A[4] O[15] 2646.54:4627.24:7129.14 2652.08:4636.93:7144.07 +IOPATH A[4] O[16] 2783.79:4867.21:7498.85 2746.63:4802.25:7398.77 +IOPATH A[4] O[17] 2758.47:4822.94:7430.65 2772.78:4847.96:7469.19 +IOPATH A[4] O[18] 2764.97:4834.31:7448.17 2777.45:4856.13:7481.79 +IOPATH A[4] O[19] 2890.63:5054.01:7786.66 2893.21:5058.53:7793.62 +IOPATH A[4] O[20] 2829.03:4946.31:7620.72 2818.01:4927.04:7591.03 +IOPATH A[4] O[21] 2819.36:4929.41:7594.68 2807.98:4909.5:7564.02 +IOPATH A[4] O[22] 2848.53:4980.4:7673.25 2851.5:4985.6:7681.25 +IOPATH A[4] O[23] 2888.26:5049.86:7780.26 2885.71:5045.4:7773.4 +IOPATH A[4] O[24] 2837.32:4960.81:7643.06 2853.95:4989.87:7687.84 +IOPATH A[4] O[25] 2877.4:5030.88:7751.02 2903.32:5076.21:7820.86 +IOPATH A[4] O[26] 2918.95:5103.53:7862.95 2943.15:5145.84:7928.14 +IOPATH A[4] O[27] 2964.97:5183.99:7986.91 2987.65:5223.64:8048.01 +IOPATH A[4] O[28] 2938.06:5136.94:7914.43 2947.78:5153.94:7940.61 +IOPATH A[4] O[29] 3008.79:5260.61:8104.97 3017.84:5276.43:8129.34 +IOPATH A[4] O[30] 3086.44:5396.36:8314.11 3084.07:5392.22:8307.73 +IOPATH A[4] O[31] 3151.94:5510.89:8490.56 3136.27:5483.49:8448.35 +IOPATH A[5] ACCUMCO 757.401:1324.25:2040.26 903.555:1579.79:2433.96 +IOPATH A[5] CO 1038.84:1816.32:2798.38 1172:2049.15:3157.09 +IOPATH A[5] O[5] 1268.95:2218.65:3418.25 1289.37:2254.35:3473.25 +IOPATH A[5] O[6] 1360.31:2378.39:3664.35 1404.42:2455.5:3783.17 +IOPATH A[5] O[7] 1481.95:2591.05:3992 1497.05:2617.46:4032.69 +IOPATH A[5] O[8] 2179.89:3811.35:5872.1 2173.71:3800.54:5855.45 +IOPATH A[5] O[9] 2267.07:3963.77:6106.93 2224.04:3888.55:5991.04 +IOPATH A[5] O[10] 2391.82:4181.88:6442.97 2366.72:4138:6375.37 +IOPATH A[5] O[11] 2489.35:4352.41:6705.71 2478.05:4332.65:6675.26 +IOPATH A[5] O[12] 2633.9:4605.14:7095.09 2612.79:4568.23:7038.22 +IOPATH A[5] O[13] 2710.86:4739.7:7302.4 2654.62:4641.37:7150.9 +IOPATH A[5] O[14] 2828.48:4945.35:7619.24 2793.74:4884.61:7525.66 +IOPATH A[5] O[15] 2761.74:4828.65:7439.45 2767.28:4838.34:7454.38 +IOPATH A[5] O[16] 2898.98:5068.62:7809.16 2861.83:5003.66:7709.08 +IOPATH A[5] O[17] 2873.66:5024.35:7740.96 2887.97:5049.37:7779.5 +IOPATH A[5] O[18] 2880.17:5035.72:7758.48 2892.65:5057.54:7792.1 +IOPATH A[5] O[19] 3005.82:5255.42:8096.97 3008.41:5259.94:8103.93 +IOPATH A[5] O[20] 2944.23:5147.72:7931.03 2933.2:5128.45:7901.34 +IOPATH A[5] O[21] 2934.56:5130.82:7904.99 2923.17:5110.91:7874.33 +IOPATH A[5] O[22] 2963.72:5181.81:7983.56 2966.7:5187.01:7991.56 +IOPATH A[5] O[23] 3003.45:5251.27:8090.57 3000.9:5246.82:8083.71 +IOPATH A[5] O[24] 2952.52:5162.22:7953.37 2969.14:5191.28:7998.15 +IOPATH A[5] O[25] 2992.59:5232.29:8061.33 3018.52:5277.62:8131.17 +IOPATH A[5] O[26] 3034.15:5304.94:8173.26 3058.35:5347.25:8238.45 +IOPATH A[5] O[27] 3080.16:5385.4:8297.22 3102.84:5425.05:8358.32 +IOPATH A[5] O[28] 3053.26:5338.35:8224.74 3062.98:5355.35:8250.92 +IOPATH A[5] O[29] 3123.99:5462.02:8415.28 3133.04:5477.84:8439.65 +IOPATH A[5] O[30] 3201.63:5597.77:8624.42 3199.26:5593.63:8618.04 +IOPATH A[5] O[31] 3267.14:5712.3:8800.88 3251.46:5684.9:8758.66 +IOPATH A[6] ACCUMCO 829.265:1449.9:2233.84 952.919:1666.1:2566.94 +IOPATH A[6] CO 1110.7:1941.96:2991.96 1221.37:2135.45:3290.07 +IOPATH A[6] O[6] 1276.81:2232.4:3439.43 1320.92:2309.51:3558.24 +IOPATH A[6] O[7] 1367.96:2391.76:3684.96 1383.07:2418.17:3725.65 +IOPATH A[6] O[8] 2065.91:3612.06:5565.06 2059.73:3601.26:5548.41 +IOPATH A[6] O[9] 2153.08:3764.48:5799.89 2110.06:3689.26:5684 +IOPATH A[6] O[10] 2277.83:3982.59:6135.93 2252.74:3938.71:6068.33 +IOPATH A[6] O[11] 2375.37:4153.12:6398.67 2364.07:4133.36:6368.22 +IOPATH A[6] O[12] 2519.91:4405.85:6788.04 2498.8:4368.94:6731.18 +IOPATH A[6] O[13] 2596.88:4540.41:6995.36 2540.64:4442.08:6843.86 +IOPATH A[6] O[14] 2714.5:4746.06:7312.2 2679.76:4685.32:7218.62 +IOPATH A[6] O[15] 2647.75:4629.36:7132.41 2653.3:4639.06:7147.34 +IOPATH A[6] O[16] 2785:4869.33:7502.12 2747.85:4804.37:7402.04 +IOPATH A[6] O[17] 2759.68:4825.06:7433.92 2773.99:4850.08:7472.46 +IOPATH A[6] O[18] 2766.19:4836.44:7451.44 2778.66:4858.25:7485.05 +IOPATH A[6] O[19] 2891.84:5056.13:7789.93 2894.43:5060.65:7796.88 +IOPATH A[6] O[20] 2830.24:4948.43:7623.99 2819.22:4929.16:7594.3 +IOPATH A[6] O[21] 2820.58:4931.53:7597.95 2809.19:4911.63:7567.29 +IOPATH A[6] O[22] 2849.74:4982.52:7676.52 2852.71:4987.72:7684.52 +IOPATH A[6] O[23] 2889.47:5051.98:7783.53 2886.92:5047.53:7776.67 +IOPATH A[6] O[24] 2838.54:4962.93:7646.33 2855.16:4991.99:7691.11 +IOPATH A[6] O[25] 2878.61:5033:7754.29 2904.54:5078.33:7824.13 +IOPATH A[6] O[26] 2920.17:5105.65:7866.22 2944.36:5147.96:7931.41 +IOPATH A[6] O[27] 2966.18:5186.11:7990.18 2988.86:5225.76:8051.27 +IOPATH A[6] O[28] 2939.27:5139.06:7917.69 2948.99:5156.06:7943.88 +IOPATH A[6] O[29] 3010.01:5262.73:8108.23 3019.05:5278.55:8132.6 +IOPATH A[6] O[30] 3087.65:5398.48:8317.38 3085.28:5394.34:8311 +IOPATH A[6] O[31] 3153.15:5513.01:8493.83 3137.48:5485.61:8451.62 +IOPATH A[7] ACCUMCO 791.512:1383.89:2132.14 912.494:1595.42:2458.04 +IOPATH A[7] CO 1072.95:1875.96:2890.27 1180.94:2064.77:3181.17 +IOPATH A[7] O[7] 1390.71:2431.54:3746.25 1405.82:2457.95:3786.94 +IOPATH A[7] O[8] 2088.66:3651.84:5626.34 2082.48:3641.03:5609.7 +IOPATH A[7] O[9] 2175.84:3804.26:5861.18 2132.81:3729.03:5745.28 +IOPATH A[7] O[10] 2300.58:4022.37:6197.22 2275.49:3978.49:6129.61 +IOPATH A[7] O[11] 2398.12:4192.9:6459.95 2386.82:4173.14:6429.51 +IOPATH A[7] O[12] 2542.67:4445.63:6849.33 2521.55:4408.72:6792.46 +IOPATH A[7] O[13] 2619.63:4580.19:7056.64 2563.39:4481.86:6905.15 +IOPATH A[7] O[14] 2737.25:4785.84:7373.48 2702.51:4725.1:7279.9 +IOPATH A[7] O[15] 2670.5:4669.14:7193.69 2676.05:4678.83:7208.62 +IOPATH A[7] O[16] 2807.75:4909.1:7563.4 2770.6:4844.15:7463.32 +IOPATH A[7] O[17] 2782.43:4864.84:7495.2 2796.74:4889.85:7533.74 +IOPATH A[7] O[18] 2788.94:4876.21:7512.72 2801.41:4898.03:7546.34 +IOPATH A[7] O[19] 2914.59:5095.91:7851.21 2917.18:5100.43:7858.17 +IOPATH A[7] O[20] 2852.99:4988.21:7685.28 2841.97:4968.94:7655.58 +IOPATH A[7] O[21] 2843.33:4971.31:7659.24 2831.94:4951.4:7628.57 +IOPATH A[7] O[22] 2872.49:5022.3:7737.8 2875.46:5027.5:7745.81 +IOPATH A[7] O[23] 2912.22:5091.76:7844.81 2909.67:5087.3:7837.95 +IOPATH A[7] O[24] 2861.29:5002.71:7707.61 2877.91:5031.77:7752.39 +IOPATH A[7] O[25] 2901.36:5072.78:7815.57 2927.29:5118.11:7885.41 +IOPATH A[7] O[26] 2942.92:5145.43:7927.51 2967.11:5187.74:7992.69 +IOPATH A[7] O[27] 2988.93:5225.89:8051.46 3011.61:5265.54:8112.56 +IOPATH A[7] O[28] 2962.02:5178.84:7978.98 2971.74:5195.83:8005.16 +IOPATH A[7] O[29] 3032.76:5302.51:8169.52 3041.8:5318.33:8193.89 +IOPATH A[7] O[30] 3110.4:5438.26:8378.66 3108.03:5434.12:8372.29 +IOPATH A[7] O[31] 3175.9:5552.79:8555.12 3160.23:5525.39:8512.9 +IOPATH A[8] ACCUMCO 772.671:1350.95:2081.39 900.977:1575.28:2427.01 +IOPATH A[8] CO 1054.11:1843.02:2839.51 1169.42:2044.64:3150.15 +IOPATH A[8] O[8] 1072.6:1875.34:2889.32 1089.23:1904.42:2934.12 +IOPATH A[8] O[9] 1168.84:2043.62:3148.58 1138.99:1991.43:3068.17 +IOPATH A[8] O[10] 1309.52:2289.58:3527.54 1296.66:2267.1:3492.9 +IOPATH A[8] O[11] 1433:2505.47:3860.15 1422.86:2487.75:3832.85 +IOPATH A[8] O[12] 1589.74:2779.53:4282.39 1585.15:2771.49:4270 +IOPATH A[8] O[13] 1669.22:2918.49:4496.48 1626.98:2844.63:4382.68 +IOPATH A[8] O[14] 1788.39:3126.85:4817.5 1761.19:3079.28:4744.21 +IOPATH A[8] O[15] 1721.65:3010.15:4637.71 1729.88:3024.55:4659.89 +IOPATH A[8] O[16] 2010.25:3514.74:5415.12 1959.18:3425.45:5277.56 +IOPATH A[8] O[17] 1995.64:3489.21:5375.78 2009.95:3514.22:5414.32 +IOPATH A[8] O[18] 2014.05:3521.39:5425.36 2026.53:3543.21:5458.98 +IOPATH A[8] O[19] 2139.7:3741.08:5763.84 2142.29:3745.6:5770.8 +IOPATH A[8] O[20] 2281.34:3988.72:6145.37 2274.9:3977.46:6128.02 +IOPATH A[8] O[21] 2271.67:3971.81:6119.33 2260.28:3951.91:6088.66 +IOPATH A[8] O[22] 2300.83:4022.81:6197.89 2303.81:4028:6205.9 +IOPATH A[8] O[23] 2340.56:4092.27:6304.91 2338.01:4087.81:6298.04 +IOPATH A[8] O[24] 2466.82:4313.02:6645.02 2460.05:4301.19:6626.8 +IOPATH A[8] O[25] 2514.61:4396.57:6773.74 2515.74:4398.55:6776.79 +IOPATH A[8] O[26] 2562.85:4480.91:6903.69 2562.23:4479.83:6902.02 +IOPATH A[8] O[27] 2614.29:4570.86:7042.27 2612.29:4567.35:7036.87 +IOPATH A[8] O[28] 2622.6:4585.39:7064.65 2620.16:4581.12:7058.07 +IOPATH A[8] O[29] 2693.33:4709.06:7255.2 2696.78:4715.09:7264.48 +IOPATH A[8] O[30] 2770.98:4844.81:7464.34 2768.61:4840.67:7457.96 +IOPATH A[8] O[31] 2836.48:4959.34:7640.79 2820.81:4931.94:7598.58 +IOPATH A[9] ACCUMCO 830.296:1451.7:2236.62 957.035:1673.29:2578.02 +IOPATH A[9] CO 1111.73:1943.77:2994.74 1225.48:2142.65:3301.16 +IOPATH A[9] O[9] 1154.18:2017.98:3109.08 1124.32:1965.78:3028.66 +IOPATH A[9] O[10] 1417.31:2478.04:3817.89 1404.45:2455.56:3783.25 +IOPATH A[9] O[11] 1540.78:2693.92:4150.5 1530.65:2676.2:4123.19 +IOPATH A[9] O[12] 1697.53:2967.98:4572.74 1692.93:2959.94:4560.35 +IOPATH A[9] O[13] 1767.88:3090.99:4762.25 1734.76:3033.08:4673.03 +IOPATH A[9] O[14] 1879.67:3286.44:5063.39 1868.97:3267.74:5034.56 +IOPATH A[9] O[15] 1812.93:3169.75:4883.59 1837.01:3211.85:4948.45 +IOPATH A[9] O[16] 2136.26:3735.07:5754.58 2085.2:3645.78:5617.02 +IOPATH A[9] O[17] 2121.66:3709.54:5715.24 2135.97:3734.55:5753.78 +IOPATH A[9] O[18] 2140.07:3741.72:5764.82 2152.55:3763.54:5798.44 +IOPATH A[9] O[19] 2265.72:3961.41:6103.3 2268.3:3965.93:6110.26 +IOPATH A[9] O[20] 2407.35:4209.05:6484.83 2400.91:4197.79:6467.49 +IOPATH A[9] O[21] 2397.69:4192.14:6458.79 2386.3:4172.24:6428.12 +IOPATH A[9] O[22] 2426.85:4243.14:6537.35 2429.82:4248.33:6545.36 +IOPATH A[9] O[23] 2466.58:4312.6:6644.37 2464.03:4308.14:6637.5 +IOPATH A[9] O[24] 2592.84:4533.35:6984.48 2586.07:4521.52:6966.26 +IOPATH A[9] O[25] 2640.62:4616.9:7113.2 2641.76:4618.88:7116.25 +IOPATH A[9] O[26] 2688.86:4701.24:7243.15 2688.24:4700.16:7241.48 +IOPATH A[9] O[27] 2740.31:4791.19:7381.73 2738.3:4787.68:7376.33 +IOPATH A[9] O[28] 2748.62:4805.72:7404.11 2746.17:4801.44:7397.53 +IOPATH A[9] O[29] 2819.35:4929.39:7594.65 2822.8:4935.42:7603.94 +IOPATH A[9] O[30] 2896.99:5065.14:7803.8 2894.62:5061:7797.42 +IOPATH A[9] O[31] 2962.5:5179.67:7980.25 2946.83:5152.27:7938.04 +IOPATH A[10] ACCUMCO 775.035:1355.08:2087.76 850.37:1486.8:2290.69 +IOPATH A[10] CO 1056.47:1847.15:2845.88 1118.82:1956.16:3013.83 +IOPATH A[10] O[10] 1368.56:2392.8:3686.57 1355.7:2370.32:3651.92 +IOPATH A[10] O[11] 1492.03:2608.69:4019.17 1481.9:2590.96:3991.87 +IOPATH A[10] O[12] 1648.78:2882.75:4441.41 1644.18:2874.7:4429.02 +IOPATH A[10] O[13] 1719.13:3005.75:4630.92 1686.01:2947.84:4541.71 +IOPATH A[10] O[14] 1830.12:3199.81:4929.91 1820.22:3182.5:4903.24 +IOPATH A[10] O[15] 1757.87:3073.48:4735.28 1788.26:3126.61:4817.13 +IOPATH A[10] O[16] 2103.47:3677.73:5666.23 2052.4:3588.44:5528.67 +IOPATH A[10] O[17] 2088.87:3652.2:5626.9 2103.17:3677.21:5665.44 +IOPATH A[10] O[18] 2107.27:3684.38:5676.48 2119.75:3706.2:5710.1 +IOPATH A[10] O[19] 2232.93:3904.08:6014.96 2235.51:3908.59:6021.92 +IOPATH A[10] O[20] 2374.56:4151.71:6396.49 2368.12:4140.45:6379.14 +IOPATH A[10] O[21] 2364.89:4134.81:6370.45 2353.51:4114.9:6339.78 +IOPATH A[10] O[22] 2394.06:4185.8:6449.01 2397.03:4190.99:6457.02 +IOPATH A[10] O[23] 2433.78:4255.26:6556.03 2431.23:4250.8:6549.16 +IOPATH A[10] O[24] 2560.04:4476.01:6896.14 2553.28:4464.18:6877.92 +IOPATH A[10] O[25] 2607.83:4559.56:7024.86 2608.96:4561.54:7027.91 +IOPATH A[10] O[26] 2656.07:4643.91:7154.81 2655.45:4642.82:7153.14 +IOPATH A[10] O[27] 2707.51:4733.85:7293.39 2705.51:4730.34:7287.99 +IOPATH A[10] O[28] 2715.82:4748.38:7315.77 2713.38:4744.11:7309.19 +IOPATH A[10] O[29] 2786.56:4872.05:7506.31 2790:4878.08:7515.6 +IOPATH A[10] O[30] 2864.2:5007.8:7715.46 2861.83:5003.66:7709.08 +IOPATH A[10] O[31] 2929.7:5122.33:7891.91 2914.03:5094.93:7849.7 +IOPATH A[11] ACCUMCO 704.52:1231.79:1897.81 783.813:1370.43:2111.4 +IOPATH A[11] CO 985.956:1723.86:2655.93 1052.26:1839.79:2834.54 +IOPATH A[11] O[11] 1381.92:2416.16:3722.55 1371.78:2398.44:3695.24 +IOPATH A[11] O[12] 1556.31:2721.07:4192.32 1534.06:2682.17:4132.39 +IOPATH A[11] O[13] 1668.55:2917.32:4494.68 1615.14:2823.93:4350.79 +IOPATH A[11] O[14] 1798.18:3143.96:4843.86 1767.17:3089.74:4760.33 +IOPATH A[11] O[15] 1731.44:3027.26:4664.07 1739.67:3041.65:4686.24 +IOPATH A[11] O[16] 2095.52:3663.84:5644.83 2044.45:3574.55:5507.27 +IOPATH A[11] O[17] 2080.92:3638.3:5605.49 2095.22:3663.32:5644.03 +IOPATH A[11] O[18] 2099.32:3670.49:5655.08 2111.8:3692.31:5688.69 +IOPATH A[11] O[19] 2224.98:3890.18:5993.56 2227.56:3894.7:6000.52 +IOPATH A[11] O[20] 2366.61:4137.81:6375.08 2360.17:4126.56:6357.74 +IOPATH A[11] O[21] 2356.94:4120.91:6349.04 2345.56:4101.01:6318.37 +IOPATH A[11] O[22] 2386.11:4171.9:6427.61 2389.08:4177.1:6435.61 +IOPATH A[11] O[23] 2425.84:4241.36:6534.62 2423.29:4236.91:6527.76 +IOPATH A[11] O[24] 2552.1:4462.12:6874.73 2545.33:4450.29:6856.51 +IOPATH A[11] O[25] 2599.88:4545.67:7003.46 2601.01:4547.65:7006.51 +IOPATH A[11] O[26] 2648.12:4630.01:7133.41 2647.5:4628.93:7131.74 +IOPATH A[11] O[27] 2699.57:4719.96:7271.98 2697.56:4716.45:7266.58 +IOPATH A[11] O[28] 2707.88:4734.48:7294.37 2705.43:4730.21:7287.78 +IOPATH A[11] O[29] 2778.61:4858.16:7484.91 2782.06:4864.18:7494.19 +IOPATH A[11] O[30] 2856.25:4993.91:7694.06 2853.88:4989.77:7687.67 +IOPATH A[11] O[31] 2921.76:5108.43:7870.51 2906.08:5081.04:7828.29 +IOPATH A[12] ACCUMCO 636.382:1112.66:1714.26 799.041:1397.05:2152.42 +IOPATH A[12] CO 917.819:1604.73:2472.38 1067.49:1866.41:2875.56 +IOPATH A[12] O[12] 1608.35:2812.06:4332.51 1584.17:2769.79:4267.38 +IOPATH A[12] O[13] 1739.32:3041.05:4685.31 1685.9:2947.66:4541.42 +IOPATH A[12] O[14] 1868.95:3267.69:5034.49 1837.94:3213.47:4950.96 +IOPATH A[12] O[15] 1802.2:3150.99:4854.7 1810.43:3165.38:4876.87 +IOPATH A[12] O[16] 2202.37:3850.65:5932.65 2151.3:3761.37:5795.09 +IOPATH A[12] O[17] 2187.77:3825.12:5893.31 2202.07:3850.13:5931.85 +IOPATH A[12] O[18] 2206.17:3857.3:5942.9 2218.65:3879.12:5976.51 +IOPATH A[12] O[19] 2331.83:4077:6281.38 2334.41:4081.51:6288.34 +IOPATH A[12] O[20] 2473.46:4324.63:6662.91 2467.02:4313.37:6645.56 +IOPATH A[12] O[21] 2463.79:4307.72:6636.86 2452.41:4287.82:6606.19 +IOPATH A[12] O[22] 2492.96:4358.72:6715.43 2495.93:4363.91:6723.43 +IOPATH A[12] O[23] 2532.68:4428.18:6822.44 2530.14:4423.72:6815.58 +IOPATH A[12] O[24] 2658.94:4648.93:7162.55 2652.18:4637.1:7144.33 +IOPATH A[12] O[25] 2706.73:4732.48:7291.28 2707.86:4734.46:7294.33 +IOPATH A[12] O[26] 2754.97:4816.82:7421.23 2754.35:4815.74:7419.56 +IOPATH A[12] O[27] 2806.41:4906.77:7559.8 2804.41:4903.26:7554.4 +IOPATH A[12] O[28] 2814.72:4921.3:7582.19 2812.28:4917.03:7575.61 +IOPATH A[12] O[29] 2885.46:5044.97:7772.73 2888.9:5051:7782.01 +IOPATH A[12] O[30] 2963.1:5180.72:7981.88 2960.73:5176.58:7975.5 +IOPATH A[12] O[31] 3028.6:5295.25:8158.33 3012.93:5267.85:8116.11 +IOPATH A[13] ACCUMCO 680.521:1189.83:1833.16 812.816:1421.14:2189.53 +IOPATH A[13] CO 961.957:1681.9:2591.28 1081.26:1890.5:2912.66 +IOPATH A[13] O[13] 1562.5:2731.89:4208.99 1509.08:2638.5:4065.1 +IOPATH A[13] O[14] 1737.52:3037.91:4680.47 1709.13:2988.26:4603.97 +IOPATH A[13] O[15] 1718.49:3004.63:4629.19 1725.44:3016.78:4647.91 +IOPATH A[13] O[16] 2218.29:3878.49:5975.54 2167.22:3789.2:5837.98 +IOPATH A[13] O[17] 2203.69:3852.95:5936.2 2217.99:3877.96:5974.74 +IOPATH A[13] O[18] 2222.09:3885.13:5985.78 2234.57:3906.95:6019.4 +IOPATH A[13] O[19] 2347.75:4104.83:6324.27 2350.33:4109.35:6331.22 +IOPATH A[13] O[20] 2489.38:4352.46:6705.79 2482.94:4341.21:6688.45 +IOPATH A[13] O[21] 2479.71:4335.56:6679.75 2468.33:4315.65:6649.08 +IOPATH A[13] O[22] 2508.88:4386.55:6758.31 2511.85:4391.75:6766.32 +IOPATH A[13] O[23] 2548.6:4456.01:6865.33 2546.06:4451.56:6858.46 +IOPATH A[13] O[24] 2674.86:4676.77:7205.44 2668.1:4664.94:7187.22 +IOPATH A[13] O[25] 2722.65:4760.31:7334.16 2723.78:4762.3:7337.21 +IOPATH A[13] O[26] 2770.89:4844.66:7464.11 2770.27:4843.58:7462.44 +IOPATH A[13] O[27] 2822.33:4934.61:7602.69 2820.33:4931.1:7597.29 +IOPATH A[13] O[28] 2830.64:4949.13:7625.07 2828.2:4944.86:7618.49 +IOPATH A[13] O[29] 2901.38:5072.81:7815.61 2904.83:5078.83:7824.9 +IOPATH A[13] O[30] 2979.02:5208.56:8024.76 2976.65:5204.41:8018.38 +IOPATH A[13] O[31] 3044.52:5323.08:8201.21 3028.85:5295.68:8159 +IOPATH A[14] ACCUMCO 682.587:1193.44:1838.73 793.555:1387.46:2137.65 +IOPATH A[14] CO 964.024:1685.51:2596.85 1062:1856.82:2860.78 +IOPATH A[14] O[14] 1762.14:3080.95:4746.79 1733.75:3031.31:4670.3 +IOPATH A[14] O[15] 1734.22:3032.13:4671.57 1741.17:3044.28:4690.29 +IOPATH A[14] O[16] 2234.02:3905.99:6017.91 2182.95:3816.7:5880.35 +IOPATH A[14] O[17] 2219.42:3880.46:5978.57 2233.72:3905.47:6017.11 +IOPATH A[14] O[18] 2237.82:3912.64:6028.16 2250.3:3934.46:6061.77 +IOPATH A[14] O[19] 2363.48:4132.33:6366.64 2366.06:4136.85:6373.6 +IOPATH A[14] O[20] 2505.11:4379.97:6748.16 2498.67:4368.71:6730.82 +IOPATH A[14] O[21] 2495.44:4363.06:6722.12 2484.06:4343.16:6691.45 +IOPATH A[14] O[22] 2524.61:4414.06:6800.69 2527.58:4419.25:6808.69 +IOPATH A[14] O[23] 2564.33:4483.51:6907.7 2561.79:4479.06:6900.84 +IOPATH A[14] O[24] 2690.59:4704.27:7247.81 2683.83:4692.44:7229.59 +IOPATH A[14] O[25] 2738.38:4787.82:7376.54 2739.51:4789.8:7379.59 +IOPATH A[14] O[26] 2786.62:4872.16:7506.49 2786:4871.08:7504.82 +IOPATH A[14] O[27] 2838.07:4962.11:7645.06 2836.06:4958.6:7639.66 +IOPATH A[14] O[28] 2846.37:4976.64:7667.45 2843.93:4972.36:7660.87 +IOPATH A[14] O[29] 2917.11:5100.31:7857.99 2920.56:5106.34:7867.27 +IOPATH A[14] O[30] 2994.75:5236.06:8067.13 2992.38:5231.92:8060.76 +IOPATH A[14] O[31] 3060.25:5350.59:8243.59 3044.58:5323.19:8201.37 +IOPATH A[15] ACCUMCO 744.159:1301.1:2004.59 885.328:1547.92:2384.86 +IOPATH A[15] CO 1025.6:1793.16:2762.71 1153.78:2017.28:3107.99 +IOPATH A[15] O[15] 1970.12:3444.59:5307.03 1977.07:3456.74:5325.75 +IOPATH A[15] O[16] 2469.92:4318.44:6653.38 2418.86:4229.16:6515.82 +IOPATH A[15] O[17] 2455.32:4292.91:6614.04 2469.63:4317.93:6652.58 +IOPATH A[15] O[18] 2473.73:4325.09:6663.62 2486.21:4346.92:6697.24 +IOPATH A[15] O[19] 2599.38:4544.79:7002.11 2601.96:4549.31:7009.06 +IOPATH A[15] O[20] 2741.01:4792.42:7383.63 2734.58:4781.17:7366.29 +IOPATH A[15] O[21] 2731.35:4775.52:7357.59 2719.96:4755.62:7326.92 +IOPATH A[15] O[22] 2760.51:4826.51:7436.15 2763.48:4831.71:7444.16 +IOPATH A[15] O[23] 2800.24:4895.97:7543.17 2797.69:4891.52:7536.3 +IOPATH A[15] O[24] 2926.5:5116.73:7883.28 2919.73:5104.9:7865.06 +IOPATH A[15] O[25] 2974.28:5200.28:8012 2975.42:5202.26:8015.06 +IOPATH A[15] O[26] 3022.52:5284.62:8141.95 3021.91:5283.54:8140.29 +IOPATH A[15] O[27] 3073.97:5374.57:8280.53 3071.96:5371.06:8275.13 +IOPATH A[15] O[28] 3082.28:5389.09:8302.91 3079.83:5384.82:8296.33 +IOPATH A[15] O[29] 3153.01:5512.77:8493.46 3156.46:5518.79:8502.74 +IOPATH A[15] O[30] 3230.65:5648.52:8702.6 3228.29:5644.37:8696.22 +IOPATH A[15] O[31] 3296.16:5763.04:8879.06 3280.49:5735.64:8836.84 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH B[0] O[0] 827.028:1445.99:2227.81 891.957:1559.51:2402.72 +IOPATH B[0] O[1] 895.712:1566.07:2412.83 950.021:1661.03:2559.13 +IOPATH B[0] O[2] 1060.47:1854.13:2856.64 1093.4:1911.71:2945.35 +IOPATH B[0] O[3] 1148.98:2008.9:3095.09 1179.44:2062.15:3177.13 +IOPATH B[0] O[4] 1268.51:2217.88:3417.07 1285.83:2248.16:3463.72 +IOPATH B[0] O[5] 1397.09:2442.68:3763.41 1429.87:2500.01:3851.73 +IOPATH B[0] O[6] 1430.65:2501.36:3853.82 1470.45:2570.96:3961.05 +IOPATH B[0] O[7] 1478.05:2584.24:3981.51 1505.65:2632.49:4055.85 +IOPATH B[0] O[8] 2154.46:3766.88:5803.58 2148.28:3756.07:5786.94 +IOPATH B[0] O[9] 2241.63:3919.3:6038.42 2198.61:3844.08:5922.52 +IOPATH B[0] O[10] 2366.38:4137.41:6374.46 2341.28:4093.53:6306.86 +IOPATH B[0] O[11] 2463.92:4307.94:6637.2 2452.61:4288.18:6606.75 +IOPATH B[0] O[12] 2608.46:4560.67:7026.57 2587.35:4523.76:6969.7 +IOPATH B[0] O[13] 2685.43:4695.23:7233.89 2629.18:4596.9:7082.39 +IOPATH B[0] O[14] 2803.04:4900.88:7550.73 2768.3:4840.14:7457.14 +IOPATH B[0] O[15] 2736.3:4784.18:7370.93 2741.84:4793.87:7385.87 +IOPATH B[0] O[16] 2873.55:5024.15:7740.65 2836.4:4959.19:7640.57 +IOPATH B[0] O[17] 2848.23:4979.88:7672.45 2862.54:5004.9:7710.99 +IOPATH B[0] O[18] 2854.74:4991.26:7689.97 2867.21:5013.07:7723.58 +IOPATH B[0] O[19] 2980.39:5210.95:8028.45 2982.97:5215.47:8035.41 +IOPATH B[0] O[20] 2918.79:5103.25:7862.52 2907.77:5083.98:7832.83 +IOPATH B[0] O[21] 2909.12:5086.35:7836.48 2897.74:5066.44:7805.81 +IOPATH B[0] O[22] 2938.29:5137.34:7915.04 2941.26:5142.54:7923.05 +IOPATH B[0] O[23] 2978.02:5206.8:8022.06 2975.47:5202.34:8015.19 +IOPATH B[0] O[24] 2927.08:5117.75:7884.86 2943.71:5146.81:7929.64 +IOPATH B[0] O[25] 2967.16:5187.82:7992.81 2993.09:5233.15:8062.65 +IOPATH B[0] O[26] 3008.71:5260.47:8104.75 3032.91:5302.78:8169.94 +IOPATH B[0] O[27] 3054.73:5340.93:8228.71 3077.41:5380.58:8289.8 +IOPATH B[0] O[28] 3027.82:5293.88:8156.22 3037.54:5310.88:8182.41 +IOPATH B[0] O[29] 3098.56:5417.55:8346.76 3107.6:5433.37:8371.13 +IOPATH B[0] O[30] 3176.2:5553.3:8555.91 3173.83:5549.16:8549.53 +IOPATH B[0] O[31] 3241.7:5667.83:8732.36 3226.03:5640.43:8690.15 +IOPATH B[1] O[0] 872.538:1525.56:2350.41 917.418:1604.03:2471.3 +IOPATH B[1] O[1] 941.258:1645.71:2535.52 988.136:1727.67:2661.8 +IOPATH B[1] O[2] 1190.73:2081.89:3207.54 1223.67:2139.48:3296.27 +IOPATH B[1] O[3] 1279.25:2236.66:3446 1309.71:2289.91:3528.04 +IOPATH B[1] O[4] 1410.24:2465.68:3798.84 1427.56:2495.96:3845.49 +IOPATH B[1] O[5] 1538.81:2690.48:4145.19 1571.6:2747.8:4233.51 +IOPATH B[1] O[6] 1572.37:2749.16:4235.6 1612.18:2818.75:4342.82 +IOPATH B[1] O[7] 1619.78:2832.04:4363.29 1647.37:2880.28:4437.62 +IOPATH B[1] O[8] 2272.29:3972.9:6121 2266.11:3962.09:6104.35 +IOPATH B[1] O[9] 2359.46:4125.32:6355.83 2316.44:4050.1:6239.93 +IOPATH B[1] O[10] 2484.21:4343.43:6691.87 2459.12:4299.55:6624.26 +IOPATH B[1] O[11] 2581.75:4513.96:6954.61 2570.44:4494.2:6924.16 +IOPATH B[1] O[12] 2726.29:4766.69:7343.98 2705.18:4729.78:7287.11 +IOPATH B[1] O[13] 2803.26:4901.25:7551.3 2747.02:4802.92:7399.8 +IOPATH B[1] O[14] 2920.88:5106.9:7868.14 2886.13:5046.15:7774.55 +IOPATH B[1] O[15] 2854.13:4990.2:7688.34 2859.68:4999.89:7703.28 +IOPATH B[1] O[16] 2991.38:5230.16:8058.05 2954.23:5165.21:7957.98 +IOPATH B[1] O[17] 2966.06:5185.9:7989.86 2980.37:5210.91:8028.4 +IOPATH B[1] O[18] 2972.57:5197.27:8007.38 2985.04:5219.09:8040.99 +IOPATH B[1] O[19] 3098.22:5416.97:8345.86 3100.8:5421.49:8352.82 +IOPATH B[1] O[20] 3036.62:5309.27:8179.93 3025.6:5290:8150.24 +IOPATH B[1] O[21] 3026.96:5292.37:8153.89 3015.57:5272.46:8123.22 +IOPATH B[1] O[22] 3056.12:5343.36:8232.45 3059.09:5348.56:8240.46 +IOPATH B[1] O[23] 3095.85:5412.82:8339.47 3093.3:5408.36:8332.6 +IOPATH B[1] O[24] 3044.92:5323.77:8202.27 3061.54:5352.83:8247.05 +IOPATH B[1] O[25] 3084.99:5393.84:8310.22 3110.92:5439.17:8380.06 +IOPATH B[1] O[26] 3126.54:5466.49:8422.16 3150.74:5508.8:8487.35 +IOPATH B[1] O[27] 3172.56:5546.95:8546.12 3195.24:5586.6:8607.21 +IOPATH B[1] O[28] 3145.65:5499.9:8473.63 3155.37:5516.89:8499.82 +IOPATH B[1] O[29] 3216.39:5623.57:8664.17 3225.43:5639.39:8688.54 +IOPATH B[1] O[30] 3294.03:5759.32:8873.32 3291.66:5755.18:8866.94 +IOPATH B[1] O[31] 3359.53:5873.85:9049.77 3343.86:5846.45:9007.56 +IOPATH B[2] O[2] 1088.49:1903.12:2932.12 1121.43:1960.71:3020.85 +IOPATH B[2] O[3] 1177:2057.88:3170.55 1207.46:2111.13:3252.6 +IOPATH B[2] O[4] 1311.23:2292.56:3532.13 1328.54:2322.84:3578.78 +IOPATH B[2] O[5] 1439.8:2517.36:3878.47 1472.58:2574.69:3966.79 +IOPATH B[2] O[6] 1473.36:2576.04:3968.88 1513.17:2645.64:4076.1 +IOPATH B[2] O[7] 1520.76:2658.92:4096.57 1548.36:2707.17:4170.9 +IOPATH B[2] O[8] 2218.86:3879.48:5977.07 2212.68:3868.67:5960.42 +IOPATH B[2] O[9] 2306.03:4031.9:6211.9 2263.01:3956.68:6096.01 +IOPATH B[2] O[10] 2430.78:4250.01:6547.94 2405.68:4206.13:6480.33 +IOPATH B[2] O[11] 2528.32:4420.54:6810.68 2517.01:4400.78:6780.23 +IOPATH B[2] O[12] 2672.86:4673.27:7200.05 2651.75:4636.36:7143.19 +IOPATH B[2] O[13] 2749.83:4807.83:7407.37 2693.59:4709.5:7255.87 +IOPATH B[2] O[14] 2867.45:5013.48:7724.21 2832.7:4952.74:7630.62 +IOPATH B[2] O[15] 2800.7:4896.78:7544.42 2806.24:4906.47:7559.35 +IOPATH B[2] O[16] 2937.95:5136.75:7914.12 2900.8:5071.79:7814.05 +IOPATH B[2] O[17] 2912.63:5092.48:7845.93 2926.94:5117.5:7884.47 +IOPATH B[2] O[18] 2919.14:5103.85:7863.45 2931.61:5125.67:7897.06 +IOPATH B[2] O[19] 3044.79:5323.55:8201.93 3047.37:5328.07:8208.89 +IOPATH B[2] O[20] 2983.19:5215.85:8036 2972.17:5196.58:8006.31 +IOPATH B[2] O[21] 2973.53:5198.95:8009.96 2962.14:5179.04:7979.29 +IOPATH B[2] O[22] 3002.69:5249.94:8088.52 3005.66:5255.14:8096.53 +IOPATH B[2] O[23] 3042.42:5319.4:8195.54 3039.87:5314.94:8188.67 +IOPATH B[2] O[24] 2991.48:5230.35:8058.34 3008.11:5259.41:8103.12 +IOPATH B[2] O[25] 3031.56:5300.42:8166.29 3057.49:5345.75:8236.13 +IOPATH B[2] O[26] 3073.11:5373.07:8278.23 3097.31:5415.38:8343.42 +IOPATH B[2] O[27] 3119.13:5453.53:8402.19 3141.81:5493.18:8463.28 +IOPATH B[2] O[28] 3092.22:5406.48:8329.7 3101.94:5423.48:8355.89 +IOPATH B[2] O[29] 3162.96:5530.15:8520.24 3172:5545.97:8544.61 +IOPATH B[2] O[30] 3240.6:5665.9:8729.39 3238.23:5661.76:8723.01 +IOPATH B[2] O[31] 3306.1:5780.43:8905.84 3290.43:5753.03:8863.63 +IOPATH B[3] O[2] 983.211:1719.06:2648.53 1016.15:1776.65:2737.26 +IOPATH B[3] O[3] 1146.77:2005.04:3089.14 1164.54:2036.1:3136.99 +IOPATH B[3] O[4] 1346.84:2354.83:3628.06 1364.16:2385.11:3674.71 +IOPATH B[3] O[5] 1475.41:2579.63:3974.41 1508.2:2636.95:4062.72 +IOPATH B[3] O[6] 1508.97:2638.31:4064.81 1548.78:2707.91:4172.04 +IOPATH B[3] O[7] 1556.38:2721.19:4192.51 1583.97:2769.44:4266.84 +IOPATH B[3] O[8] 2254.47:3941.74:6073 2248.29:3930.94:6056.36 +IOPATH B[3] O[9] 2341.65:4094.17:6307.84 2298.62:4018.94:6191.94 +IOPATH B[3] O[10] 2466.4:4312.28:6643.88 2441.3:4268.4:6576.27 +IOPATH B[3] O[11] 2563.93:4482.81:6906.61 2552.63:4463.05:6876.17 +IOPATH B[3] O[12] 2708.48:4735.54:7295.99 2687.37:4698.63:7239.12 +IOPATH B[3] O[13] 2785.44:4870.1:7503.3 2729.2:4771.77:7351.81 +IOPATH B[3] O[14] 2903.06:5075.75:7820.14 2868.32:5015:7726.56 +IOPATH B[3] O[15] 2836.32:4959.05:7640.35 2841.86:4968.74:7655.28 +IOPATH B[3] O[16] 2973.56:5199.01:8010.06 2936.41:5134.06:7909.98 +IOPATH B[3] O[17] 2948.25:5154.75:7941.86 2962.55:5179.76:7980.4 +IOPATH B[3] O[18] 2954.75:5166.12:7959.39 2967.23:5187.94:7993 +IOPATH B[3] O[19] 3080.41:5385.82:8297.87 3082.99:5390.34:8304.83 +IOPATH B[3] O[20] 3018.81:5278.12:8131.94 3007.78:5258.85:8102.25 +IOPATH B[3] O[21] 3009.14:5261.22:8105.9 2997.75:5241.31:8075.23 +IOPATH B[3] O[22] 3038.3:5312.21:8184.46 3041.28:5317.41:8192.47 +IOPATH B[3] O[23] 3078.03:5381.67:8291.47 3075.48:5377.21:8284.61 +IOPATH B[3] O[24] 3027.1:5292.62:8154.28 3043.72:5321.68:8199.05 +IOPATH B[3] O[25] 3067.18:5362.69:8262.23 3093.1:5408.02:8332.07 +IOPATH B[3] O[26] 3108.73:5435.34:8374.17 3132.93:5477.65:8439.35 +IOPATH B[3] O[27] 3154.75:5515.8:8498.12 3177.43:5555.45:8559.22 +IOPATH B[3] O[28] 3141.11:5491.95:8461.39 3138.66:5487.68:8454.81 +IOPATH B[3] O[29] 3211.84:5615.62:8651.93 3215.29:5621.65:8661.21 +IOPATH B[3] O[30] 3289.48:5751.37:8861.08 3287.11:5747.23:8854.7 +IOPATH B[3] O[31] 3354.99:5865.9:9037.53 3339.32:5838.5:8995.31 +IOPATH B[4] O[4] 1185.03:2071.93:3192.19 1202.35:2102.21:3238.84 +IOPATH B[4] O[5] 1313.61:2296.73:3538.54 1346.39:2354.05:3626.86 +IOPATH B[4] O[6] 1347.17:2355.41:3628.95 1388.32:2427.36:3739.8 +IOPATH B[4] O[7] 1445.03:2526.51:3892.57 1460.14:2552.92:3933.25 +IOPATH B[4] O[8] 2142.98:3746.81:5772.66 2136.8:3736:5756.02 +IOPATH B[4] O[9] 2230.15:3899.23:6007.49 2187.13:3824:5891.6 +IOPATH B[4] O[10] 2354.9:4117.34:6343.54 2329.8:4073.46:6275.93 +IOPATH B[4] O[11] 2452.44:4287.87:6606.27 2441.13:4268.11:6575.82 +IOPATH B[4] O[12] 2596.98:4540.6:6995.65 2575.87:4503.69:6938.78 +IOPATH B[4] O[13] 2673.94:4675.16:7202.96 2617.7:4576.83:7051.47 +IOPATH B[4] O[14] 2791.57:4880.81:7519.8 2756.82:4820.06:7426.22 +IOPATH B[4] O[15] 2724.82:4764.11:7340.01 2730.36:4773.8:7354.94 +IOPATH B[4] O[16] 2862.07:5004.07:7709.72 2824.92:4939.12:7609.64 +IOPATH B[4] O[17] 2836.75:4959.81:7641.52 2851.06:4984.82:7680.06 +IOPATH B[4] O[18] 2843.25:4971.18:7659.04 2855.73:4993:7692.66 +IOPATH B[4] O[19] 2968.91:5190.88:7997.53 2971.49:5195.4:8004.49 +IOPATH B[4] O[20] 2907.31:5083.18:7831.6 2896.29:5063.91:7801.9 +IOPATH B[4] O[21] 2897.64:5066.28:7805.55 2886.26:5046.37:7774.89 +IOPATH B[4] O[22] 2926.81:5117.27:7884.12 2929.78:5122.47:7892.12 +IOPATH B[4] O[23] 2966.54:5186.73:7991.13 2963.99:5182.27:7984.27 +IOPATH B[4] O[24] 2915.6:5097.68:7853.93 2932.23:5126.74:7898.71 +IOPATH B[4] O[25] 2955.68:5167.75:7961.89 2981.61:5213.08:8031.73 +IOPATH B[4] O[26] 2997.23:5240.4:8073.82 3021.43:5282.71:8139.01 +IOPATH B[4] O[27] 3043.25:5320.86:8197.78 3065.93:5360.51:8258.88 +IOPATH B[4] O[28] 3016.34:5273.81:8125.29 3026.06:5290.81:8151.48 +IOPATH B[4] O[29] 3087.07:5397.48:8315.84 3096.12:5413.3:8340.2 +IOPATH B[4] O[30] 3164.72:5533.23:8524.98 3162.35:5529.09:8518.6 +IOPATH B[4] O[31] 3230.22:5647.76:8701.44 3214.55:5620.36:8659.22 +IOPATH B[5] O[4] 1171.39:2048.08:3155.45 1188.71:2078.35:3202.1 +IOPATH B[5] O[5] 1299.96:2272.87:3501.79 1332.75:2330.2:3590.11 +IOPATH B[5] O[6] 1391.09:2432.2:3747.26 1435.2:2509.32:3866.07 +IOPATH B[5] O[7] 1491.51:2607.77:4017.76 1506.61:2634.18:4058.45 +IOPATH B[5] O[8] 2189.45:3828.07:5897.86 2183.27:3817.27:5881.22 +IOPATH B[5] O[9] 2276.63:3980.49:6132.69 2233.61:3905.27:6016.8 +IOPATH B[5] O[10] 2401.38:4198.6:6468.73 2376.28:4154.72:6401.13 +IOPATH B[5] O[11] 2498.91:4369.13:6731.47 2487.61:4349.37:6701.02 +IOPATH B[5] O[12] 2643.46:4621.86:7120.85 2622.35:4584.95:7063.98 +IOPATH B[5] O[13] 2720.42:4756.42:7328.16 2664.18:4658.09:7176.66 +IOPATH B[5] O[14] 2838.04:4962.07:7645 2803.3:4901.33:7551.42 +IOPATH B[5] O[15] 2771.3:4845.37:7465.21 2776.84:4855.06:7480.14 +IOPATH B[5] O[16] 2957.06:5170.16:7965.6 2919.91:5105.2:7865.53 +IOPATH B[5] O[17] 2931.74:5125.89:7897.41 2946.05:5150.91:7935.95 +IOPATH B[5] O[18] 2938.25:5137.27:7914.93 2950.72:5159.08:7948.54 +IOPATH B[5] O[19] 3063.9:5356.96:8253.41 3066.49:5361.48:8260.37 +IOPATH B[5] O[20] 3002.3:5249.26:8087.48 2991.28:5229.99:8057.79 +IOPATH B[5] O[21] 2992.64:5232.36:8061.44 2981.25:5212.46:8030.77 +IOPATH B[5] O[22] 3021.8:5283.35:8140 3024.77:5288.55:8148.01 +IOPATH B[5] O[23] 3061.53:5352.81:8247.02 3058.98:5348.36:8240.15 +IOPATH B[5] O[24] 3010.6:5263.76:8109.82 3027.22:5292.83:8154.6 +IOPATH B[5] O[25] 3050.67:5333.83:8217.77 3076.6:5379.16:8287.61 +IOPATH B[5] O[26] 3092.22:5406.48:8329.71 3116.42:5448.8:8394.9 +IOPATH B[5] O[27] 3138.24:5486.94:8453.67 3160.92:5526.6:8514.76 +IOPATH B[5] O[28] 3111.33:5439.89:8381.18 3121.05:5456.89:8407.37 +IOPATH B[5] O[29] 3182.07:5563.57:8571.72 3191.11:5579.38:8596.09 +IOPATH B[5] O[30] 3259.71:5699.31:8780.87 3257.34:5695.17:8774.49 +IOPATH B[5] O[31] 3325.21:5813.84:8957.32 3309.54:5786.44:8915.11 +IOPATH B[6] O[6] 1172.77:2050.49:3159.17 1216.88:2127.6:3277.97 +IOPATH B[6] O[7] 1235.74:2160.58:3328.79 1264.36:2210.63:3405.89 +IOPATH B[6] O[8] 1933.69:3380.88:5208.88 1927.51:3370.08:5192.24 +IOPATH B[6] O[9] 2020.86:3533.3:5443.72 1977.84:3458.08:5327.82 +IOPATH B[6] O[10] 2148.43:3756.34:5787.35 2123.33:3712.46:5719.75 +IOPATH B[6] O[11] 2245.97:3926.87:6050.09 2234.66:3907.11:6019.64 +IOPATH B[6] O[12] 2390.51:4179.6:6439.46 2366.58:4137.76:6375 +IOPATH B[6] O[13] 2467.47:4314.16:6646.78 2409.54:4212.87:6490.72 +IOPATH B[6] O[14] 2585.09:4519.81:6963.62 2550.35:4459.07:6870.03 +IOPATH B[6] O[15] 2518.35:4403.11:6783.83 2523.89:4412.8:6798.76 +IOPATH B[6] O[16] 2797.32:4890.87:7535.3 2760.17:4825.91:7435.22 +IOPATH B[6] O[17] 2772:4846.6:7467.1 2786.31:4871.62:7505.64 +IOPATH B[6] O[18] 2778.51:4857.97:7484.62 2790.98:4879.79:7518.24 +IOPATH B[6] O[19] 2904.16:5077.67:7823.11 2906.74:5082.19:7830.07 +IOPATH B[6] O[20] 2842.56:4969.97:7657.18 2831.54:4950.7:7627.48 +IOPATH B[6] O[21] 2832.89:4953.07:7631.14 2821.51:4933.16:7600.47 +IOPATH B[6] O[22] 2862.06:5004.06:7709.7 2865.03:5009.26:7717.71 +IOPATH B[6] O[23] 2901.79:5073.52:7816.71 2899.24:5069.06:7809.85 +IOPATH B[6] O[24] 2850.85:4984.47:7679.52 2867.48:5013.53:7724.29 +IOPATH B[6] O[25] 2890.93:5054.54:7787.47 2916.86:5099.87:7857.31 +IOPATH B[6] O[26] 2932.48:5127.19:7899.4 2956.68:5169.5:7964.59 +IOPATH B[6] O[27] 2978.5:5207.65:8023.36 3001.18:5247.3:8084.46 +IOPATH B[6] O[28] 2951.59:5160.6:7950.88 2961.31:5177.6:7977.06 +IOPATH B[6] O[29] 3022.33:5284.27:8141.42 3031.37:5300.09:8165.79 +IOPATH B[6] O[30] 3099.97:5420.02:8350.56 3097.6:5415.88:8344.19 +IOPATH B[6] O[31] 3165.47:5534.55:8527.02 3149.8:5507.15:8484.8 +IOPATH B[7] O[6] 1120.09:1958.39:3017.27 1164.2:2035.5:3136.07 +IOPATH B[7] O[7] 1183.09:2068.53:3186.95 1211.68:2118.52:3263.99 +IOPATH B[7] O[8] 1957.36:3422.28:5272.66 1943.98:3398.88:5236.62 +IOPATH B[7] O[9] 2099.54:3670.86:5655.65 2046.99:3578.98:5514.09 +IOPATH B[7] O[10] 2242.6:3920.99:6041.02 2217.5:3877.11:5973.42 +IOPATH B[7] O[11] 2340.14:4091.52:6303.76 2328.83:4071.76:6273.31 +IOPATH B[7] O[12] 2484.68:4344.25:6693.13 2459.94:4300.98:6626.48 +IOPATH B[7] O[13] 2561.64:4478.81:6900.45 2503.71:4377.52:6744.39 +IOPATH B[7] O[14] 2679.26:4684.46:7217.29 2644.52:4623.72:7123.71 +IOPATH B[7] O[15] 2612.52:4567.76:7037.5 2618.06:4577.45:7052.43 +IOPATH B[7] O[16] 2891.49:5055.51:7788.97 2854.34:4990.56:7688.9 +IOPATH B[7] O[17] 2866.17:5011.25:7720.78 2880.48:5036.26:7759.31 +IOPATH B[7] O[18] 2872.68:5022.62:7738.3 2885.15:5044.44:7771.91 +IOPATH B[7] O[19] 2998.33:5242.32:8076.78 3000.91:5246.84:8083.74 +IOPATH B[7] O[20] 2936.73:5134.62:7910.85 2925.71:5115.35:7881.15 +IOPATH B[7] O[21] 2927.06:5117.72:7884.81 2915.68:5097.81:7854.14 +IOPATH B[7] O[22] 2956.23:5168.71:7963.37 2959.2:5173.91:7971.38 +IOPATH B[7] O[23] 2995.96:5238.17:8070.39 2993.41:5233.71:8063.52 +IOPATH B[7] O[24] 2945.03:5149.12:7933.19 2961.65:5178.18:7977.97 +IOPATH B[7] O[25] 2985.1:5219.19:8041.14 3011.03:5264.52:8110.98 +IOPATH B[7] O[26] 3026.65:5291.84:8153.08 3050.85:5334.15:8218.26 +IOPATH B[7] O[27] 3072.67:5372.3:8277.03 3095.35:5411.95:8338.13 +IOPATH B[7] O[28] 3045.76:5325.25:8204.55 3055.48:5342.25:8230.74 +IOPATH B[7] O[29] 3116.5:5448.92:8395.09 3125.54:5464.74:8419.46 +IOPATH B[7] O[30] 3194.14:5584.67:8604.24 3191.77:5580.53:8597.86 +IOPATH B[7] O[31] 3259.64:5699.2:8780.69 3243.97:5671.8:8738.47 +IOPATH B[8] O[8] 1122.72:1962.98:3024.34 1108.85:1938.73:2986.97 +IOPATH B[8] O[9] 1275.18:2229.55:3435.04 1212.12:2119.28:3265.15 +IOPATH B[8] O[10] 1526.29:2668.59:4111.47 1498.04:2619.19:4035.36 +IOPATH B[8] O[11] 1652.99:2890.1:4452.75 1629.41:2848.88:4389.23 +IOPATH B[8] O[12] 1789.44:3128.68:4820.32 1784.9:3120.74:4808.09 +IOPATH B[8] O[13] 1866.41:3263.26:5027.66 1826.73:3193.88:4920.78 +IOPATH B[8] O[14] 1994.07:3486.46:5371.55 1960.94:3428.54:5282.31 +IOPATH B[8] O[15] 1927.33:3369.77:5191.76 1932.87:3379.46:5206.69 +IOPATH B[8] O[16] 2275.91:3979.23:6130.76 2224.83:3889.92:5993.16 +IOPATH B[8] O[17] 2261.31:3953.7:6091.42 2275.61:3978.71:6129.96 +IOPATH B[8] O[18] 2267.81:3965.07:6108.95 2280.29:3986.89:6142.56 +IOPATH B[8] O[19] 2393.47:4184.77:6447.43 2396.05:4189.29:6454.39 +IOPATH B[8] O[20] 2530.26:4423.94:6815.92 2523.82:4412.67:6798.55 +IOPATH B[8] O[21] 2520.6:4407.04:6789.88 2509.21:4387.13:6759.21 +IOPATH B[8] O[22] 2549.76:4458.03:6868.44 2552.73:4463.23:6876.44 +IOPATH B[8] O[23] 2589.49:4527.49:6975.46 2586.94:4523.04:6968.59 +IOPATH B[8] O[24] 2651.02:4635.07:7141.2 2644.25:4623.24:7122.97 +IOPATH B[8] O[25] 2698.8:4718.62:7269.92 2699.93:4720.6:7272.97 +IOPATH B[8] O[26] 2747.04:4802.96:7399.87 2746.42:4801.88:7398.2 +IOPATH B[8] O[27] 2798.49:4892.91:7538.45 2796.48:4889.4:7533.04 +IOPATH B[8] O[28] 2852.94:4988.12:7685.14 2850.5:4983.85:7678.56 +IOPATH B[8] O[29] 2923.68:5111.8:7875.69 2927.13:5117.82:7884.97 +IOPATH B[8] O[30] 3001.32:5247.54:8084.83 2998.95:5243.4:8078.45 +IOPATH B[8] O[31] 3066.82:5362.07:8261.29 3051.15:5334.67:8219.07 +IOPATH B[9] O[8] 1242.48:2172.36:3346.93 1226.58:2144.57:3304.12 +IOPATH B[9] O[9] 1394.99:2439.01:3757.76 1331.92:2328.74:3587.86 +IOPATH B[9] O[10] 1669.97:2919.8:4498.5 1641.72:2870.4:4422.39 +IOPATH B[9] O[11] 1796.67:3141.33:4839.8 1773.1:3100.1:4776.29 +IOPATH B[9] O[12] 1933.13:3379.9:5207.38 1928.59:3371.97:5195.15 +IOPATH B[9] O[13] 2025.64:3541.65:5456.59 1970.42:3445.11:5307.84 +IOPATH B[9] O[14] 2155.28:3768.31:5805.79 2120.54:3707.57:5712.22 +IOPATH B[9] O[15] 2088.53:3651.61:5626 2094.07:3661.31:5640.93 +IOPATH B[9] O[16] 2437.14:4261.13:6565.07 2386.06:4171.82:6427.47 +IOPATH B[9] O[17] 2422.54:4235.6:6525.74 2436.84:4260.61:6564.27 +IOPATH B[9] O[18] 2429.04:4246.97:6543.26 2441.52:4268.79:6576.87 +IOPATH B[9] O[19] 2554.7:4466.67:6881.74 2557.28:4471.18:6888.7 +IOPATH B[9] O[20] 2691.49:4705.84:7250.23 2685.05:4694.57:7232.87 +IOPATH B[9] O[21] 2681.82:4688.94:7224.19 2670.44:4669.03:7193.52 +IOPATH B[9] O[22] 2710.99:4739.93:7302.76 2713.96:4745.13:7310.76 +IOPATH B[9] O[23] 2750.72:4809.39:7409.77 2748.17:4804.93:7402.91 +IOPATH B[9] O[24] 2812.24:4916.96:7575.51 2805.48:4905.13:7557.28 +IOPATH B[9] O[25] 2860.03:5000.51:7704.23 2861.16:5002.49:7707.28 +IOPATH B[9] O[26] 2908.27:5084.86:7834.18 2907.65:5083.77:7832.51 +IOPATH B[9] O[27] 2959.72:5174.8:7972.76 2957.71:5171.3:7967.36 +IOPATH B[9] O[28] 3014.17:5270.02:8119.46 3011.73:5265.75:8112.88 +IOPATH B[9] O[29] 3084.91:5393.69:8310 3088.36:5399.72:8319.29 +IOPATH B[9] O[30] 3162.55:5529.44:8519.15 3160.18:5525.3:8512.77 +IOPATH B[9] O[31] 3228.05:5643.97:8695.6 3212.38:5616.57:8653.38 +IOPATH B[10] O[10] 1542.67:2697.22:4155.58 1514.41:2647.82:4079.46 +IOPATH B[10] O[11] 1669.36:2918.73:4496.86 1645.78:2877.51:4433.34 +IOPATH B[10] O[12] 1822.39:3186.3:4909.09 1801.28:3149.37:4852.2 +IOPATH B[10] O[13] 1945.55:3401.62:5240.84 1874.62:3277.61:5049.78 +IOPATH B[10] O[14] 2075.18:3628.27:5590.04 2040.44:3567.54:5496.46 +IOPATH B[10] O[15] 2008.44:3511.58:5410.25 2013.98:3521.27:5425.18 +IOPATH B[10] O[16] 2356.73:4120.54:6348.46 2305.65:4031.23:6210.87 +IOPATH B[10] O[17] 2342.13:4095.01:6309.13 2356.43:4120.02:6347.66 +IOPATH B[10] O[18] 2348.63:4106.38:6326.65 2361.11:4128.2:6360.26 +IOPATH B[10] O[19] 2474.29:4326.08:6665.13 2476.87:4330.59:6672.09 +IOPATH B[10] O[20] 2611.08:4565.25:7033.62 2604.63:4553.98:7016.26 +IOPATH B[10] O[21] 2601.41:4548.34:7007.58 2590.03:4528.44:6976.91 +IOPATH B[10] O[22] 2630.58:4599.34:7086.15 2633.55:4604.53:7094.15 +IOPATH B[10] O[23] 2670.31:4668.8:7193.16 2667.76:4664.34:7186.29 +IOPATH B[10] O[24] 2731.83:4776.37:7358.9 2725.07:4764.54:7340.68 +IOPATH B[10] O[25] 2779.62:4859.92:7487.62 2780.75:4861.9:7490.67 +IOPATH B[10] O[26] 2827.86:4944.26:7617.57 2827.24:4943.18:7615.9 +IOPATH B[10] O[27] 2879.3:5034.21:7756.15 2877.3:5030.7:7750.75 +IOPATH B[10] O[28] 2933.76:5129.43:7902.85 2931.32:5125.16:7896.27 +IOPATH B[10] O[29] 3004.5:5253.1:8093.39 3007.94:5259.13:8102.68 +IOPATH B[10] O[30] 3082.14:5388.85:8302.54 3079.77:5384.71:8296.16 +IOPATH B[10] O[31] 3147.64:5503.38:8478.99 3131.97:5475.98:8436.77 +IOPATH B[11] O[10] 1515.41:2649.56:4082.14 1487.15:2600.16:4006.03 +IOPATH B[11] O[11] 1729.43:3023.75:4658.66 1705.85:2982.53:4595.15 +IOPATH B[11] O[12] 1898.14:3318.73:5113.13 1861.34:3254.4:5014.01 +IOPATH B[11] O[13] 2021.24:3533.96:5444.73 1950.31:3409.95:5253.67 +IOPATH B[11] O[14] 2150.87:3760.61:5793.93 2116.13:3699.87:5700.35 +IOPATH B[11] O[15] 2084.13:3643.92:5614.14 2089.67:3653.61:5629.07 +IOPATH B[11] O[16] 2431.73:4251.68:6550.51 2380.65:4162.37:6412.91 +IOPATH B[11] O[17] 2417.13:4226.14:6511.17 2431.44:4251.16:6549.71 +IOPATH B[11] O[18] 2423.64:4237.52:6528.69 2436.11:4259.33:6562.31 +IOPATH B[11] O[19] 2549.29:4457.21:6867.18 2551.88:4461.73:6874.14 +IOPATH B[11] O[20] 2686.09:4696.39:7235.67 2679.64:4685.11:7218.3 +IOPATH B[11] O[21] 2676.42:4679.48:7209.62 2665.03:4659.58:7178.96 +IOPATH B[11] O[22] 2705.58:4730.47:7288.19 2708.55:4735.67:7296.19 +IOPATH B[11] O[23] 2745.31:4799.93:7395.2 2742.76:4795.48:7388.34 +IOPATH B[11] O[24] 2806.84:4907.51:7560.94 2800.07:4895.68:7542.72 +IOPATH B[11] O[25] 2854.62:4991.06:7689.67 2855.76:4993.04:7692.72 +IOPATH B[11] O[26] 2902.86:5075.4:7819.61 2902.24:5074.32:7817.95 +IOPATH B[11] O[27] 2954.31:5165.35:7958.19 2952.3:5161.84:7952.79 +IOPATH B[11] O[28] 3008.77:5260.56:8104.89 3006.32:5256.29:8098.31 +IOPATH B[11] O[29] 3079.5:5384.24:8295.43 3082.95:5390.27:8304.72 +IOPATH B[11] O[30] 3157.14:5519.99:8504.58 3154.77:5515.85:8498.2 +IOPATH B[11] O[31] 3222.65:5634.51:8681.03 3206.97:5607.11:8638.82 +IOPATH B[12] O[12] 1689.88:2954.6:4552.13 1647.22:2880.02:4437.21 +IOPATH B[12] O[13] 1812.97:3169.83:4883.72 1742.05:3045.82:4692.67 +IOPATH B[12] O[14] 1958.74:3424.69:5276.37 1911.01:3341.23:5147.79 +IOPATH B[12] O[15] 1957.94:3423.28:5274.21 1951.16:3411.43:5255.95 +IOPATH B[12] O[16] 2308.55:4036.3:6218.68 2257.47:3946.99:6081.08 +IOPATH B[12] O[17] 2293.95:4010.77:6179.34 2308.25:4035.78:6217.88 +IOPATH B[12] O[18] 2300.45:4022.14:6196.86 2312.93:4043.95:6230.47 +IOPATH B[12] O[19] 2426.11:4241.84:6535.35 2428.69:4246.35:6542.3 +IOPATH B[12] O[20] 2562.9:4481.01:6903.84 2556.45:4469.73:6886.47 +IOPATH B[12] O[21] 2553.23:4464.1:6877.79 2541.85:4444.2:6847.12 +IOPATH B[12] O[22] 2582.4:4515.1:6956.36 2585.37:4520.29:6964.36 +IOPATH B[12] O[23] 2622.12:4584.55:7063.37 2619.58:4580.1:7056.51 +IOPATH B[12] O[24] 2683.65:4692.13:7229.11 2676.89:4680.3:7210.89 +IOPATH B[12] O[25] 2731.44:4775.68:7357.84 2732.57:4777.66:7360.89 +IOPATH B[12] O[26] 2779.68:4860.03:7487.78 2779.06:4858.94:7486.12 +IOPATH B[12] O[27] 2831.12:4949.97:7626.36 2829.12:4946.46:7620.96 +IOPATH B[12] O[28] 2885.58:5045.19:7773.06 2883.14:5040.92:7766.48 +IOPATH B[12] O[29] 2956.32:5168.86:7963.6 2959.76:5174.89:7972.89 +IOPATH B[12] O[30] 3033.96:5304.61:8172.75 3031.59:5300.47:8166.37 +IOPATH B[12] O[31] 3099.46:5419.14:8349.2 3083.79:5391.74:8306.99 +IOPATH B[13] O[12] 1691.26:2957.03:4555.86 1648.6:2882.44:4440.94 +IOPATH B[13] O[13] 1814.42:3172.35:4887.6 1743.49:3048.35:4696.55 +IOPATH B[13] O[14] 1960.63:3427.99:5281.46 1912.84:3344.43:5152.73 +IOPATH B[13] O[15] 1961.81:3430.06:5284.66 1955.04:3418.21:5266.4 +IOPATH B[13] O[16] 2312.43:4043.08:6229.12 2261.35:3953.77:6091.53 +IOPATH B[13] O[17] 2297.83:4017.55:6189.79 2312.13:4042.56:6228.32 +IOPATH B[13] O[18] 2304.33:4028.92:6207.31 2316.81:4050.74:6240.92 +IOPATH B[13] O[19] 2429.99:4248.62:6545.79 2432.57:4253.13:6552.75 +IOPATH B[13] O[20] 2566.78:4487.79:6914.28 2560.33:4476.52:6896.92 +IOPATH B[13] O[21] 2557.11:4470.88:6888.24 2545.73:4450.98:6857.57 +IOPATH B[13] O[22] 2586.28:4521.88:6966.8 2589.25:4527.07:6974.81 +IOPATH B[13] O[23] 2626:4591.34:7073.82 2623.45:4586.88:7066.95 +IOPATH B[13] O[24] 2708.34:4735.29:7295.61 2701.57:4723.47:7277.39 +IOPATH B[13] O[25] 2756.12:4818.84:7424.34 2757.26:4820.82:7427.39 +IOPATH B[13] O[26] 2804.37:4903.19:7554.28 2803.75:4902.1:7552.62 +IOPATH B[13] O[27] 2855.81:4993.13:7692.86 2853.8:4989.63:7687.46 +IOPATH B[13] O[28] 2910.27:5088.35:7839.56 2907.82:5084.08:7832.98 +IOPATH B[13] O[29] 2981:5212.02:8030.1 2984.45:5218.05:8039.39 +IOPATH B[13] O[30] 3058.64:5347.77:8239.25 3056.28:5343.63:8232.87 +IOPATH B[13] O[31] 3124.15:5462.3:8415.7 3108.48:5434.9:8373.49 +IOPATH B[14] O[14] 1732.8:3029.65:4667.75 1685.01:2946.09:4539.01 +IOPATH B[14] O[15] 1701.84:2975.52:4584.35 1695.06:2963.67:4566.09 +IOPATH B[14] O[16] 2052.45:3588.54:5528.82 2001.37:3499.23:5391.22 +IOPATH B[14] O[17] 2037.85:3563.01:5489.48 2052.16:3588.02:5528.02 +IOPATH B[14] O[18] 2044.36:3574.38:5507.01 2056.83:3596.2:5540.62 +IOPATH B[14] O[19] 2170.01:3794.08:5845.49 2172.6:3798.59:5852.45 +IOPATH B[14] O[20] 2306.81:4033.25:6213.98 2300.36:4021.98:6196.61 +IOPATH B[14] O[21] 2297.14:4016.35:6187.94 2285.75:3996.44:6157.27 +IOPATH B[14] O[22] 2326.3:4067.34:6266.5 2329.27:4072.53:6274.51 +IOPATH B[14] O[23] 2366.03:4136.8:6373.52 2363.48:4132.34:6366.65 +IOPATH B[14] O[24] 2556.97:4470.65:6887.87 2550.21:4458.82:6869.65 +IOPATH B[14] O[25] 2604.76:4554.19:7016.59 2605.89:4556.17:7019.64 +IOPATH B[14] O[26] 2653:4638.54:7146.54 2652.38:4637.45:7144.87 +IOPATH B[14] O[27] 2704.44:4728.48:7285.12 2702.44:4724.98:7279.72 +IOPATH B[14] O[28] 2758.9:4823.7:7431.82 2756.46:4819.43:7425.24 +IOPATH B[14] O[29] 2829.64:4947.37:7622.36 2833.08:4953.4:7631.65 +IOPATH B[14] O[30] 2907.28:5083.12:7831.51 2904.91:5078.98:7825.13 +IOPATH B[14] O[31] 2972.78:5197.65:8007.96 2957.11:5170.25:7965.74 +IOPATH B[15] O[14] 1815.65:3174.51:4890.92 1767.86:3090.95:4762.19 +IOPATH B[15] O[15] 1784.72:3120.42:4807.6 1777.94:3108.57:4789.34 +IOPATH B[15] O[16] 2179.16:3810.06:5870.12 2128.08:3720.76:5732.53 +IOPATH B[15] O[17] 2204.63:3854.6:5938.73 2205.39:3855.93:5940.79 +IOPATH B[15] O[18] 2222.94:3886.61:5988.05 2235.42:3908.43:6021.67 +IOPATH B[15] O[19] 2350.51:4109.65:6331.7 2353.09:4114.17:6338.65 +IOPATH B[15] O[20] 2501.78:4374.14:6739.19 2495.33:4362.87:6721.83 +IOPATH B[15] O[21] 2492.11:4357.24:6713.15 2480.73:4337.34:6682.48 +IOPATH B[15] O[22] 2521.28:4408.23:6791.72 2524.25:4413.43:6799.72 +IOPATH B[15] O[23] 2561:4477.69:6898.73 2558.46:4473.24:6891.87 +IOPATH B[15] O[24] 2778.76:4858.42:7485.31 2771.99:4846.59:7467.08 +IOPATH B[15] O[25] 2826.54:4941.97:7614.03 2827.68:4943.94:7617.08 +IOPATH B[15] O[26] 2874.79:5026.31:7743.98 2874.17:5025.23:7742.31 +IOPATH B[15] O[27] 2926.23:5116.26:7882.56 2924.22:5112.75:7877.15 +IOPATH B[15] O[28] 2980.69:5211.47:8029.26 2978.25:5207.2:8022.67 +IOPATH B[15] O[29] 3051.42:5335.15:8219.8 3054.87:5341.17:8229.08 +IOPATH B[15] O[30] 3129.06:5470.89:8428.94 3126.7:5466.75:8422.57 +IOPATH B[15] O[31] 3194.57:5585.42:8605.4 3178.9:5558.02:8563.18 + +CELL SB_MAC16_MUL_U_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 110.748:193.633:298.328 +HOLD negedge:A[1] posedge:CLK 109.024:190.62:293.686 +HOLD negedge:A[2] posedge:CLK 106.197:185.676:286.069 +HOLD negedge:A[3] posedge:CLK 106.3:185.856:286.347 +HOLD negedge:A[4] posedge:CLK 107.158:187.357:288.658 +HOLD negedge:A[5] posedge:CLK 90.4062:158.067:243.533 +HOLD negedge:A[6] posedge:CLK 108.701:190.055:292.816 +HOLD negedge:A[7] posedge:CLK 103.638:181.201:279.175 +HOLD negedge:A[8] posedge:CLK 88.5624:154.844:238.566 +HOLD negedge:A[9] posedge:CLK 82.9013:144.946:223.316 +HOLD negedge:A[10] posedge:CLK 87.3323:152.693:235.252 +HOLD negedge:A[11] posedge:CLK 85.0878:148.769:229.206 +HOLD negedge:A[12] posedge:CLK 84.4245:147.609:227.419 +HOLD negedge:A[13] posedge:CLK 84.4668:147.683:227.533 +HOLD negedge:A[14] posedge:CLK 82.0173:143.4:220.935 +HOLD negedge:A[15] posedge:CLK 89.7533:156.926:241.774 +HOLD negedge:ADDSUBBOT posedge:CLK 33.9157:59.2987:91.3608 +HOLD negedge:ADDSUBTOP posedge:CLK 31.6653:55.3641:85.2988 +HOLD negedge:AHOLD posedge:CLK 99.8238:174.533:268.901 +HOLD negedge:B[0] posedge:CLK 97.9992:171.343:263.986 +HOLD negedge:B[1] posedge:CLK 100.797:176.234:271.522 +HOLD negedge:B[2] posedge:CLK 95.8796:167.637:258.276 +HOLD negedge:B[3] posedge:CLK 98.2214:171.732:264.585 +HOLD negedge:B[4] posedge:CLK 99.1251:173.312:267.019 +HOLD negedge:B[5] posedge:CLK 92.5696:161.85:249.36 +HOLD negedge:B[6] posedge:CLK 90.3049:157.89:243.26 +HOLD negedge:B[7] posedge:CLK 90.4082:158.071:243.538 +HOLD negedge:B[8] posedge:CLK 89.4381:156.375:240.925 +HOLD negedge:B[9] posedge:CLK 95.4897:166.955:257.226 +HOLD negedge:B[10] posedge:CLK 82.0453:143.449:221.01 +HOLD negedge:B[11] posedge:CLK 87.8741:153.64:236.712 +HOLD negedge:B[12] posedge:CLK 92.8081:162.267:250.003 +HOLD negedge:B[13] posedge:CLK 87.9365:153.749:236.88 +HOLD negedge:B[14] posedge:CLK 83.4638:145.929:224.831 +HOLD negedge:B[15] posedge:CLK 96.708:169.086:260.508 +HOLD negedge:BHOLD posedge:CLK 87.1095:152.303:234.652 +HOLD negedge:C[0] posedge:CLK 117.028:204.613:315.245 +HOLD negedge:C[1] posedge:CLK 118.587:207.339:319.445 +HOLD negedge:C[2] posedge:CLK 116.098:202.987:312.739 +HOLD negedge:C[3] posedge:CLK 114.747:200.625:309.101 +HOLD negedge:C[4] posedge:CLK 115.462:201.875:311.027 +HOLD negedge:C[5] posedge:CLK 116.186:203.141:312.978 +HOLD negedge:C[6] posedge:CLK 115.685:202.265:311.628 +HOLD negedge:C[7] posedge:CLK 118.049:206.399:317.996 +HOLD negedge:C[8] posedge:CLK 116.568:203.809:314.005 +HOLD negedge:C[9] posedge:CLK 115.634:202.176:311.49 +HOLD negedge:C[10] posedge:CLK 114.576:200.327:308.641 +HOLD negedge:C[11] posedge:CLK 116.01:202.834:312.504 +HOLD negedge:C[12] posedge:CLK 117.593:205.602:316.768 +HOLD negedge:C[13] posedge:CLK 116.004:202.823:312.488 +HOLD negedge:C[14] posedge:CLK 115.659:202.22:311.558 +HOLD negedge:C[15] posedge:CLK 115.814:202.49:311.974 +HOLD negedge:CHOLD posedge:CLK 105.921:185.194:285.326 +HOLD negedge:D[0] posedge:CLK 93.8499:164.088:252.809 +HOLD negedge:D[1] posedge:CLK 91.1157:159.308:245.444 +HOLD negedge:D[2] posedge:CLK 90.5242:158.274:243.85 +HOLD negedge:D[3] posedge:CLK 88.619:154.943:238.718 +HOLD negedge:D[4] posedge:CLK 83.8163:146.545:225.781 +HOLD negedge:D[5] posedge:CLK 91.8198:160.539:247.34 +HOLD negedge:D[6] posedge:CLK 91.8916:160.664:247.534 +HOLD negedge:D[7] posedge:CLK 89.0974:155.779:240.007 +HOLD negedge:D[8] posedge:CLK 122.3:213.831:329.447 +HOLD negedge:D[9] posedge:CLK 84.9005:148.441:228.701 +HOLD negedge:D[10] posedge:CLK 124.376:217.46:335.038 +HOLD negedge:D[11] posedge:CLK 125.995:220.291:339.401 +HOLD negedge:D[12] posedge:CLK 124.859:218.305:336.34 +HOLD negedge:D[13] posedge:CLK 122.319:213.865:329.499 +HOLD negedge:D[14] posedge:CLK 87.406:152.822:235.451 +HOLD negedge:D[15] posedge:CLK 127.656:223.195:343.873 +HOLD negedge:DHOLD posedge:CLK 115.051:201.157:309.921 +HOLD negedge:OHOLDBOT posedge:CLK 73.5005:128.509:197.993 +HOLD negedge:OHOLDTOP posedge:CLK 77.3316:135.208:208.313 +HOLD negedge:OLOADBOT posedge:CLK 95.6549:167.244:257.671 +HOLD negedge:OLOADTOP posedge:CLK 87.8451:153.589:236.634 +HOLD posedge:A[0] posedge:CLK 85.7097:149.856:230.881 +HOLD posedge:A[1] posedge:CLK 84.2347:147.277:226.908 +HOLD posedge:A[2] posedge:CLK 80.3687:140.518:216.494 +HOLD posedge:A[3] posedge:CLK 80.0702:139.996:215.69 +HOLD posedge:A[4] posedge:CLK 81.8516:143.11:220.488 +HOLD posedge:A[5] posedge:CLK 69.012:120.661:185.902 +HOLD posedge:A[6] posedge:CLK 82.5748:144.375:222.437 +HOLD posedge:A[7] posedge:CLK 78.31:136.918:210.948 +HOLD posedge:A[8] posedge:CLK 67.9357:118.78:183.002 +HOLD posedge:A[9] posedge:CLK 63.8627:111.658:172.031 +HOLD posedge:A[10] posedge:CLK 67.2537:117.587:181.165 +HOLD posedge:A[11] posedge:CLK 65.436:114.409:176.269 +HOLD posedge:A[12] posedge:CLK 65.4616:114.454:176.338 +HOLD posedge:A[13] posedge:CLK 64.7713:113.247:174.478 +HOLD posedge:A[14] posedge:CLK 61.6364:107.766:166.034 +HOLD posedge:A[15] posedge:CLK 68.3521:119.508:184.124 +HOLD posedge:ADDSUBBOT posedge:CLK 28.5314:49.8847:76.8567 +HOLD posedge:ADDSUBTOP posedge:CLK 25.5528:44.6769:68.8332 +HOLD posedge:AHOLD posedge:CLK 80.3569:140.497:216.462 +HOLD posedge:B[0] posedge:CLK 78.3086:136.916:210.944 +HOLD posedge:B[1] posedge:CLK 79.4822:138.968:214.106 +HOLD posedge:B[2] posedge:CLK 75.7681:132.474:204.101 +HOLD posedge:B[3] posedge:CLK 77.8346:136.087:209.668 +HOLD posedge:B[4] posedge:CLK 79.2472:138.557:213.473 +HOLD posedge:B[5] posedge:CLK 71.6385:125.254:192.977 +HOLD posedge:B[6] posedge:CLK 69.5818:121.658:187.437 +HOLD posedge:B[7] posedge:CLK 69.9968:122.383:188.555 +HOLD posedge:B[8] posedge:CLK 68.4534:119.685:184.397 +HOLD posedge:B[9] posedge:CLK 74.2945:129.897:200.132 +HOLD posedge:B[10] posedge:CLK 63.8233:111.59:171.925 +HOLD posedge:B[11] posedge:CLK 66.9735:117.097:180.41 +HOLD posedge:B[12] posedge:CLK 71.9478:125.794:193.81 +HOLD posedge:B[13] posedge:CLK 67.62:118.228:182.152 +HOLD posedge:B[14] posedge:CLK 63.8715:111.674:172.054 +HOLD posedge:B[15] posedge:CLK 75.6609:132.286:203.812 +HOLD posedge:BHOLD posedge:CLK 72.0648:125.999:194.125 +HOLD posedge:C[0] posedge:CLK 96.5222:168.761:260.007 +HOLD posedge:C[1] posedge:CLK 98.1496:171.606:264.391 +HOLD posedge:C[2] posedge:CLK 95.3898:166.781:256.957 +HOLD posedge:C[3] posedge:CLK 95.1755:166.406:256.38 +HOLD posedge:C[4] posedge:CLK 95.2915:166.609:256.692 +HOLD posedge:C[5] posedge:CLK 96.0452:167.927:258.723 +HOLD posedge:C[6] posedge:CLK 93.3258:163.172:251.397 +HOLD posedge:C[7] posedge:CLK 97.2051:169.955:261.847 +HOLD posedge:C[8] posedge:CLK 95.3171:166.654:256.761 +HOLD posedge:C[9] posedge:CLK 93.9104:164.194:252.972 +HOLD posedge:C[10] posedge:CLK 92.6011:161.905:249.445 +HOLD posedge:C[11] posedge:CLK 95.0496:166.186:256.041 +HOLD posedge:C[12] posedge:CLK 97.0532:169.689:261.438 +HOLD posedge:C[13] posedge:CLK 94.8544:165.845:255.515 +HOLD posedge:C[14] posedge:CLK 93.6616:163.759:252.302 +HOLD posedge:C[15] posedge:CLK 95.674:167.278:257.723 +HOLD posedge:CHOLD posedge:CLK 90.7543:158.676:244.47 +HOLD posedge:D[0] posedge:CLK 73.7198:128.893:198.583 +HOLD posedge:D[1] posedge:CLK 70.7638:123.724:190.621 +HOLD posedge:D[2] posedge:CLK 68.2548:119.338:183.862 +HOLD posedge:D[3] posedge:CLK 67.0959:117.311:180.74 +HOLD posedge:D[4] posedge:CLK 63.6748:111.33:171.525 +HOLD posedge:D[5] posedge:CLK 70.8135:123.811:190.754 +HOLD posedge:D[6] posedge:CLK 72.5363:126.823:195.395 +HOLD posedge:D[7] posedge:CLK 69.0685:120.76:186.054 +HOLD posedge:D[8] posedge:CLK 101.038:176.656:272.171 +HOLD posedge:D[9] posedge:CLK 65.8013:115.048:177.253 +HOLD posedge:D[10] posedge:CLK 100.567:175.832:270.902 +HOLD posedge:D[11] posedge:CLK 102.772:179.688:276.843 +HOLD posedge:D[12] posedge:CLK 101.446:177.369:273.271 +HOLD posedge:D[13] posedge:CLK 99.0125:173.115:266.716 +HOLD posedge:D[14] posedge:CLK 68.1491:119.153:183.577 +HOLD posedge:D[15] posedge:CLK 103.59:181.119:279.048 +HOLD posedge:DHOLD posedge:CLK 96.4425:168.621:259.793 +HOLD posedge:OHOLDBOT posedge:CLK 89.1112:155.803:240.044 +HOLD posedge:OHOLDTOP posedge:CLK 91.8862:160.655:247.519 +HOLD posedge:OLOADBOT posedge:CLK 84.515:147.767:227.663 +HOLD posedge:OLOADTOP posedge:CLK 80.3643:140.51:216.482 +RECOVERY negedge:IRSTBOT posedge:CLK 392.221:685.764:1056.55 +RECOVERY negedge:IRSTTOP posedge:CLK 417.52:729.998:1124.7 +RECOVERY negedge:ORSTBOT posedge:CLK 302.84:529.489:815.777 +RECOVERY negedge:ORSTTOP posedge:CLK 349.829:611.646:942.355 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -38.0891:-66.5954:-102.603 +SETUP negedge:A[1] posedge:CLK -35.3401:-61.7891:-95.1978 +SETUP negedge:A[2] posedge:CLK -36.3771:-63.6021:-97.991 +SETUP negedge:A[3] posedge:CLK -31.7794:-55.5635:-85.6061 +SETUP negedge:A[4] posedge:CLK -33.0573:-57.7977:-89.0483 +SETUP negedge:A[5] posedge:CLK -24.3841:-42.6335:-65.685 +SETUP negedge:A[6] posedge:CLK -37.1962:-65.0343:-100.198 +SETUP negedge:A[7] posedge:CLK -30.6279:-53.5502:-82.5042 +SETUP negedge:A[8] posedge:CLK -23.3442:-40.8153:-62.8837 +SETUP negedge:A[9] posedge:CLK -7.81812:-13.6693:-21.0601 +SETUP negedge:A[10] posedge:CLK -20.4556:-35.7649:-55.1026 +SETUP negedge:A[11] posedge:CLK -20.6528:-36.1096:-55.6337 +SETUP negedge:A[12] posedge:CLK -18.7825:-32.8395:-50.5955 +SETUP negedge:A[13] posedge:CLK -19.3445:-33.8221:-52.1093 +SETUP negedge:A[14] posedge:CLK -11.0676:-19.3507:-29.8135 +SETUP negedge:A[15] posedge:CLK -20.8421:-36.4406:-56.1436 +SETUP negedge:ADDSUBBOT posedge:CLK 674.108:1178.62:1815.89 +SETUP negedge:ADDSUBTOP posedge:CLK 676.634:1183.04:1822.69 +SETUP negedge:AHOLD posedge:CLK 140.197:245.122:377.657 +SETUP negedge:B[0] posedge:CLK -26.7978:-46.8535:-72.1867 +SETUP negedge:B[1] posedge:CLK -26.5755:-46.465:-71.588 +SETUP negedge:B[2] posedge:CLK -26.7923:-46.8441:-72.1721 +SETUP negedge:B[3] posedge:CLK -31.2356:-54.6127:-84.1412 +SETUP negedge:B[4] posedge:CLK -20.5245:-35.8853:-55.288 +SETUP negedge:B[5] posedge:CLK -23.0251:-40.2574:-62.0242 +SETUP negedge:B[6] posedge:CLK -21.2841:-37.2134:-57.3343 +SETUP negedge:B[7] posedge:CLK -21.3225:-37.2805:-57.4376 +SETUP negedge:B[8] posedge:CLK -24.4298:-42.7135:-65.8081 +SETUP negedge:B[9] posedge:CLK -28.408:-49.6689:-76.5243 +SETUP negedge:B[10] posedge:CLK -9.44262:-16.5096:-25.4361 +SETUP negedge:B[11] posedge:CLK -20.5353:-35.9042:-55.3171 +SETUP negedge:B[12] posedge:CLK -21.4931:-37.5788:-57.8972 +SETUP negedge:B[13] posedge:CLK -6.6853:-11.6887:-18.0086 +SETUP negedge:B[14] posedge:CLK -10.7996:-18.8822:-29.0916 +SETUP negedge:B[15] posedge:CLK -13.8677:-24.2464:-37.3562 +SETUP negedge:BHOLD posedge:CLK 130.019:227.327:350.24 +SETUP negedge:C[0] posedge:CLK 84.4496:147.653:227.487 +SETUP negedge:C[1] posedge:CLK 79.7467:139.43:214.818 +SETUP negedge:C[2] posedge:CLK 73.4572:128.434:197.876 +SETUP negedge:C[3] posedge:CLK 68.2735:119.37:183.912 +SETUP negedge:C[4] posedge:CLK 72.7438:127.186:195.954 +SETUP negedge:C[5] posedge:CLK 64.1823:112.217:172.892 +SETUP negedge:C[6] posedge:CLK 79.5033:139.005:214.163 +SETUP negedge:C[7] posedge:CLK 72.9587:127.562:196.533 +SETUP negedge:C[8] posedge:CLK 71.6783:125.323:193.084 +SETUP negedge:C[9] posedge:CLK 60.9952:106.645:164.306 +SETUP negedge:C[10] posedge:CLK 66.9174:116.999:180.259 +SETUP negedge:C[11] posedge:CLK 74.4661:130.198:200.594 +SETUP negedge:C[12] posedge:CLK 68.1265:119.113:183.516 +SETUP negedge:C[13] posedge:CLK 75.1638:131.417:202.473 +SETUP negedge:C[14] posedge:CLK 69.864:122.151:188.197 +SETUP negedge:C[15] posedge:CLK 67.4627:117.953:181.728 +SETUP negedge:CHOLD posedge:CLK 96.6446:168.975:260.337 +SETUP negedge:D[0] posedge:CLK 107.995:188.821:290.914 +SETUP negedge:D[1] posedge:CLK 92.632:161.959:249.528 +SETUP negedge:D[2] posedge:CLK 85.668:149.783:230.769 +SETUP negedge:D[3] posedge:CLK 66.4297:116.147:178.946 +SETUP negedge:D[4] posedge:CLK 85.7058:149.849:230.871 +SETUP negedge:D[5] posedge:CLK 94.1621:164.634:253.65 +SETUP negedge:D[6] posedge:CLK 76.3679:133.523:205.717 +SETUP negedge:D[7] posedge:CLK 73.6234:128.724:198.324 +SETUP negedge:D[8] posedge:CLK 70.4904:123.246:189.884 +SETUP negedge:D[9] posedge:CLK 72.3696:126.532:194.946 +SETUP negedge:D[10] posedge:CLK 81.7493:142.932:220.213 +SETUP negedge:D[11] posedge:CLK 70.5111:123.282:189.94 +SETUP negedge:D[12] posedge:CLK 69.6349:121.751:187.58 +SETUP negedge:D[13] posedge:CLK 54.0887:94.5693:145.702 +SETUP negedge:D[14] posedge:CLK 83.9663:146.808:226.185 +SETUP negedge:D[15] posedge:CLK 52.9381:92.5577:142.603 +SETUP negedge:DHOLD posedge:CLK 118.156:206.585:318.283 +SETUP negedge:OHOLDBOT posedge:CLK 153.927:269.128:414.643 +SETUP negedge:OHOLDTOP posedge:CLK 108.687:190.029:292.776 +SETUP negedge:OLOADBOT posedge:CLK 168.613:294.804:454.202 +SETUP negedge:OLOADTOP posedge:CLK 136.972:239.484:368.97 +SETUP posedge:A[0] posedge:CLK -25.8188:-45.142:-69.5497 +SETUP posedge:A[1] posedge:CLK -21.4842:-37.5633:-57.8733 +SETUP posedge:A[2] posedge:CLK -27.8263:-48.6519:-74.9575 +SETUP posedge:A[3] posedge:CLK -15.2119:-26.5967:-40.9773 +SETUP posedge:A[4] posedge:CLK -17.8208:-31.158:-48.0048 +SETUP posedge:A[5] posedge:CLK -12.7835:-22.3509:-34.4358 +SETUP posedge:A[6] posedge:CLK -27.0839:-47.3538:-72.9575 +SETUP posedge:A[7] posedge:CLK -19.6154:-34.2958:-52.8391 +SETUP posedge:A[8] posedge:CLK -19.8838:-34.7651:-53.5622 +SETUP posedge:A[9] posedge:CLK 5.23535:9.15356:14.1028 +SETUP posedge:A[10] posedge:CLK -10.9398:-19.1272:-29.4691 +SETUP posedge:A[11] posedge:CLK -14.8432:-25.952:-39.9839 +SETUP posedge:A[12] posedge:CLK -9.94953:-17.3959:-26.8016 +SETUP posedge:A[13] posedge:CLK -13.6042:-23.7857:-36.6463 +SETUP posedge:A[14] posedge:CLK -3.18114:-5.56194:-8.56922 +SETUP posedge:A[15] posedge:CLK -11.4329:-19.9895:-30.7975 +SETUP posedge:ADDSUBBOT posedge:CLK 694.807:1214.81:1871.64 +SETUP posedge:ADDSUBTOP posedge:CLK 695.197:1215.49:1872.69 +SETUP posedge:AHOLD posedge:CLK 112.255:196.268:302.387 +SETUP posedge:B[0] posedge:CLK -18.074:-31.6008:-48.6869 +SETUP posedge:B[1] posedge:CLK -20.1946:-35.3084:-54.3993 +SETUP posedge:B[2] posedge:CLK -18.9364:-33.1086:-51.01 +SETUP posedge:B[3] posedge:CLK -23.6761:-41.3956:-63.7777 +SETUP posedge:B[4] posedge:CLK -10.365:-18.1223:-27.9208 +SETUP posedge:B[5] posedge:CLK -12.6036:-22.0363:-33.951 +SETUP posedge:B[6] posedge:CLK -9.62109:-16.8216:-25.9169 +SETUP posedge:B[7] posedge:CLK -11.7235:-20.4975:-31.5803 +SETUP posedge:B[8] posedge:CLK -18.3754:-32.1277:-49.4988 +SETUP posedge:B[9] posedge:CLK -21.529:-37.6415:-57.9939 +SETUP posedge:B[10] posedge:CLK 0.921399:1.61099:2.48203 +SETUP posedge:B[11] posedge:CLK -10.1437:-17.7355:-27.3248 +SETUP posedge:B[12] posedge:CLK -8.14017:-14.2324:-21.9277 +SETUP posedge:B[13] posedge:CLK 2.41314:4.21916:6.50042 +SETUP posedge:B[14] posedge:CLK -3.28832:-5.74934:-8.85795 +SETUP posedge:B[15] posedge:CLK -5.12473:-8.96014:-13.8048 +SETUP posedge:BHOLD posedge:CLK 108.711:190.072:292.842 +SETUP posedge:C[0] posedge:CLK 74.8147:130.807:201.533 +SETUP posedge:C[1] posedge:CLK 78.3553:136.997:211.07 +SETUP posedge:C[2] posedge:CLK 68.9913:120.625:185.846 +SETUP posedge:C[3] posedge:CLK 72.2742:126.365:194.689 +SETUP posedge:C[4] posedge:CLK 71.8135:125.56:193.448 +SETUP posedge:C[5] posedge:CLK 60.42:105.639:162.757 +SETUP posedge:C[6] posedge:CLK 72.9001:127.46:196.375 +SETUP posedge:C[7] posedge:CLK 68.2204:119.277:183.769 +SETUP posedge:C[8] posedge:CLK 65.6868:114.848:176.944 +SETUP posedge:C[9] posedge:CLK 50.5943:88.4598:136.289 +SETUP posedge:C[10] posedge:CLK 60.2041:105.262:162.175 +SETUP posedge:C[11] posedge:CLK 64.0185:111.931:172.45 +SETUP posedge:C[12] posedge:CLK 58.8087:102.822:158.417 +SETUP posedge:C[13] posedge:CLK 70.2185:122.771:189.152 +SETUP posedge:C[14] posedge:CLK 61.7081:107.891:166.227 +SETUP posedge:C[15] posedge:CLK 65.3485:114.256:176.033 +SETUP posedge:CHOLD posedge:CLK 75.0689:131.251:202.218 +SETUP posedge:D[0] posedge:CLK 95.1996:166.448:256.445 +SETUP posedge:D[1] posedge:CLK 93.8966:164.17:252.935 +SETUP posedge:D[2] posedge:CLK 80.0063:139.884:215.518 +SETUP posedge:D[3] posedge:CLK 62.8906:109.959:169.412 +SETUP posedge:D[4] posedge:CLK 82.0787:143.507:221.1 +SETUP posedge:D[5] posedge:CLK 92.4752:161.685:249.106 +SETUP posedge:D[6] posedge:CLK 64.8608:113.403:174.719 +SETUP posedge:D[7] posedge:CLK 75.3492:131.741:202.973 +SETUP posedge:D[8] posedge:CLK 63.8853:111.698:172.092 +SETUP posedge:D[9] posedge:CLK 69.4496:121.426:187.08 +SETUP posedge:D[10] posedge:CLK 73.2659:128.099:197.361 +SETUP posedge:D[11] posedge:CLK 62.3434:109.002:167.938 +SETUP posedge:D[12] posedge:CLK 65.0112:113.666:175.125 +SETUP posedge:D[13] posedge:CLK 51.5379:90.1094:138.831 +SETUP posedge:D[14] posedge:CLK 78.369:137.021:211.107 +SETUP posedge:D[15] posedge:CLK 56.8509:99.3988:153.143 +SETUP posedge:DHOLD posedge:CLK 95.2704:166.572:256.635 +SETUP posedge:OHOLDBOT posedge:CLK 125.286:219.051:337.489 +SETUP posedge:OHOLDTOP posedge:CLK 78.7516:137.69:212.138 +SETUP posedge:OLOADBOT posedge:CLK 162.999:284.99:439.08 +SETUP posedge:OLOADTOP posedge:CLK 145.363:254.155:391.573 +IOPATH ADDSUBTOP ACCUMCO 787.304:1376.53:2120.81 929.697:1625.49:2504.38 +IOPATH ADDSUBTOP CO 225.799:394.79:608.248 220.814:386.074:594.82 +IOPATH posedge:CLK ACCUMCO 1164.12:2035.36:3135.86 1305.36:2282.32:3516.34 +IOPATH posedge:CLK CO 1445.56:2527.43:3893.98 1573.81:2751.67:4239.47 +IOPATH posedge:CLK O[0] 505.159:883.227:1360.78 565.898:989.423:1524.39 +IOPATH posedge:CLK O[1] 512.03:895.24:1379.29 555.639:971.486:1496.76 +IOPATH posedge:CLK O[2] 520.279:909.662:1401.51 570.974:998.298:1538.07 +IOPATH posedge:CLK O[3] 513.518:897.841:1383.29 545.279:953.373:1468.85 +IOPATH posedge:CLK O[4] 549.329:960.453:1479.76 586.181:1024.89:1579.03 +IOPATH posedge:CLK O[5] 500.634:875.315:1348.59 544.336:951.725:1466.31 +IOPATH posedge:CLK O[6] 491.105:858.655:1322.92 522.923:914.285:1408.63 +IOPATH posedge:CLK O[7] 478.072:835.867:1287.81 515.854:901.926:1389.59 +IOPATH posedge:CLK O[8] 674.913:1180.03:1818.05 670.032:1171.49:1804.9 +IOPATH posedge:CLK O[9] 653.424:1142.45:1760.17 615.658:1076.42:1658.43 +IOPATH posedge:CLK O[10] 578.183:1010.9:1557.49 568.193:993.436:1530.58 +IOPATH posedge:CLK O[11] 645.355:1128.35:1738.43 630.201:1101.85:1697.61 +IOPATH posedge:CLK O[12] 661.418:1156.43:1781.7 630.802:1102.9:1699.23 +IOPATH posedge:CLK O[13] 672.12:1175.14:1810.53 619.497:1083.14:1668.77 +IOPATH posedge:CLK O[14] 732.111:1280.03:1972.13 700.879:1225.43:1888 +IOPATH posedge:CLK O[15] 588.429:1028.82:1585.09 588.426:1028.81:1585.08 +IOPATH posedge:CLK O[16] 745.682:1303.76:2008.69 712.739:1246.16:1919.95 +IOPATH posedge:CLK O[17] 610.665:1067.69:1644.98 629.208:1100.12:1694.94 +IOPATH posedge:CLK O[18] 574.777:1004.95:1548.31 588.304:1028.6:1584.75 +IOPATH posedge:CLK O[19] 650.901:1138.04:1753.37 653.165:1142:1759.47 +IOPATH posedge:CLK O[20] 638.806:1116.9:1720.79 628.52:1098.91:1693.08 +IOPATH posedge:CLK O[21] 576.036:1007.15:1551.7 564.5:986.979:1520.63 +IOPATH posedge:CLK O[22] 548.074:958.26:1476.38 550.461:962.434:1482.81 +IOPATH posedge:CLK O[23] 550.909:963.216:1484.02 548.117:958.334:1476.49 +IOPATH posedge:CLK O[24] 578.092:1010.74:1557.24 569.922:996.458:1535.23 +IOPATH posedge:CLK O[25] 560.365:979.75:1509.49 561.993:982.595:1513.87 +IOPATH posedge:CLK O[26] 566.9:991.175:1527.09 564.885:987.652:1521.66 +IOPATH posedge:CLK O[27] 577.199:1009.18:1554.83 574.82:1005.02:1548.43 +IOPATH posedge:CLK O[28] 576.773:1008.44:1553.69 576.576:1008.09:1553.16 +IOPATH posedge:CLK O[29] 585.301:1023.35:1576.66 590.921:1033.17:1591.8 +IOPATH posedge:CLK O[30] 604.743:1057.34:1629.03 608.994:1064.77:1640.48 +IOPATH posedge:CLK O[31] 618.524:1081.43:1666.15 634.303:1109.02:1708.66 +IOPATH posedge:CLK SIGNEXTOUT 720.575:1259.86:1941.05 703.764:1230.47:1895.77 CELL SB_PLL40_2F_CORE HOLD negedge:SDI negedge:SCLK 0:0:0 HOLD posedge:SDI negedge:SCLK 0:0:0 -SETUP negedge:SDI negedge:SCLK 1000:1000:1000 -SETUP posedge:SDI negedge:SCLK 1000:1000:1000 -IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000 -IOPATH REFERENCECLK PLLOUTCOREA *:*:* *:*:* -IOPATH REFERENCECLK PLLOUTCOREB *:*:* *:*:* -IOPATH REFERENCECLK PLLOUTGLOBALA *:*:* *:*:* -IOPATH REFERENCECLK PLLOUTGLOBALB *:*:* *:*:* +SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45 +SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45 +IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45 +IOPATH REFERENCECLK PLLOUTCOREA *:*:* *:*:* +IOPATH REFERENCECLK PLLOUTCOREB *:*:* *:*:* +IOPATH REFERENCECLK PLLOUTGLOBALA *:*:* *:*:* +IOPATH REFERENCECLK PLLOUTGLOBALB *:*:* *:*:* CELL SB_PLL40_CORE HOLD negedge:SDI negedge:SCLK 0:0:0 HOLD posedge:SDI negedge:SCLK 0:0:0 -SETUP negedge:SDI negedge:SCLK 1000:1000:1000 -SETUP posedge:SDI negedge:SCLK 1000:1000:1000 -IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000 -IOPATH REFERENCECLK PLLOUTCORE *:*:* *:*:* -IOPATH REFERENCECLK PLLOUTGLOBAL *:*:* *:*:* +SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45 +SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45 +IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45 +IOPATH REFERENCECLK PLLOUTCORE *:*:* *:*:* +IOPATH REFERENCECLK PLLOUTGLOBAL *:*:* *:*:* CELL SB_RAM40_4K HOLD negedge:MASK[0] posedge:WCLK 0:0:0 @@ -12456,48 +12807,48 @@ HOLD negedge:MASK[12] posedge:WCLK 0:0:0 HOLD negedge:MASK[13] posedge:WCLK 0:0:0 HOLD negedge:MASK[14] posedge:WCLK 0:0:0 HOLD negedge:MASK[15] posedge:WCLK 0:0:0 -HOLD negedge:RADDR[0] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[1] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[2] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[3] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[4] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[5] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[6] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[7] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[8] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[9] posedge:RCLK 80:80:80 -HOLD negedge:RADDR[10] posedge:RCLK 80:80:80 -HOLD negedge:RCLKE posedge:RCLK 75:75:75 -HOLD negedge:RE posedge:RCLK 120:120:120 -HOLD negedge:WADDR[0] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[1] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[2] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[3] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[4] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[5] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[6] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[7] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[8] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[9] posedge:WCLK 50:50:50 -HOLD negedge:WADDR[10] posedge:WCLK 50:50:50 -HOLD negedge:WCLKE posedge:WCLK 39:39:39 -HOLD negedge:WDATA[0] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[1] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[2] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[3] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[4] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[5] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[6] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[7] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[8] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[9] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[10] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[11] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[12] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[13] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[14] posedge:WCLK 50:50:50 -HOLD negedge:WDATA[15] posedge:WCLK 50:50:50 -HOLD negedge:WE posedge:WCLK 70:70:70 +HOLD negedge:RADDR[0] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[1] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[2] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[3] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[4] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[5] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[6] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[7] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[8] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[9] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RADDR[10] posedge:RCLK 39.334:68.772:105.956 +HOLD negedge:RCLKE posedge:RCLK 36.8756:64.4738:99.334 +HOLD negedge:RE posedge:RCLK 59.001:103.158:158.934 +HOLD negedge:WADDR[0] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[1] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[2] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[3] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[4] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[5] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[6] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[7] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[8] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[9] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WADDR[10] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WCLKE posedge:WCLK 19.1753:33.5264:51.6537 +HOLD negedge:WDATA[0] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[1] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[2] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[3] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[4] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[5] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[6] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[7] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[8] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[9] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[10] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[11] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[12] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[13] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[14] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WDATA[15] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD negedge:WE posedge:WCLK 34.4172:60.1755:92.7118 HOLD posedge:MASK[0] posedge:WCLK 0:0:0 HOLD posedge:MASK[1] posedge:WCLK 0:0:0 HOLD posedge:MASK[2] posedge:WCLK 0:0:0 @@ -12514,490 +12865,490 @@ HOLD posedge:MASK[12] posedge:WCLK 0:0:0 HOLD posedge:MASK[13] posedge:WCLK 0:0:0 HOLD posedge:MASK[14] posedge:WCLK 0:0:0 HOLD posedge:MASK[15] posedge:WCLK 0:0:0 -HOLD posedge:RADDR[0] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[1] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[2] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[3] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[4] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[5] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[6] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[7] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[8] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[9] posedge:RCLK 80:80:80 -HOLD posedge:RADDR[10] posedge:RCLK 80:80:80 -HOLD posedge:RCLKE posedge:RCLK 75:75:75 -HOLD posedge:RE posedge:RCLK 120:120:120 -HOLD posedge:WADDR[0] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[1] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[2] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[3] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[4] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[5] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[6] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[7] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[8] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[9] posedge:WCLK 50:50:50 -HOLD posedge:WADDR[10] posedge:WCLK 50:50:50 -HOLD posedge:WCLKE posedge:WCLK 39:39:39 -HOLD posedge:WDATA[0] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[1] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[2] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[3] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[4] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[5] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[6] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[7] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[8] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[9] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[10] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[11] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[12] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[13] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[14] posedge:WCLK 50:50:50 -HOLD posedge:WDATA[15] posedge:WCLK 50:50:50 -HOLD posedge:WE posedge:WCLK 70:70:70 -SETUP negedge:MASK[0] posedge:WCLK 390:390:390 -SETUP negedge:MASK[1] posedge:WCLK 390:390:390 -SETUP negedge:MASK[2] posedge:WCLK 390:390:390 -SETUP negedge:MASK[3] posedge:WCLK 390:390:390 -SETUP negedge:MASK[4] posedge:WCLK 390:390:390 -SETUP negedge:MASK[5] posedge:WCLK 390:390:390 -SETUP negedge:MASK[6] posedge:WCLK 390:390:390 -SETUP negedge:MASK[7] posedge:WCLK 390:390:390 -SETUP negedge:MASK[8] posedge:WCLK 390:390:390 -SETUP negedge:MASK[9] posedge:WCLK 390:390:390 -SETUP negedge:MASK[10] posedge:WCLK 390:390:390 -SETUP negedge:MASK[11] posedge:WCLK 390:390:390 -SETUP negedge:MASK[12] posedge:WCLK 390:390:390 -SETUP negedge:MASK[13] posedge:WCLK 390:390:390 -SETUP negedge:MASK[14] posedge:WCLK 390:390:390 -SETUP negedge:MASK[15] posedge:WCLK 390:390:390 -SETUP negedge:RADDR[0] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[1] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[2] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[3] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[4] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[5] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[6] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[7] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[8] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[9] posedge:RCLK 290:290:290 -SETUP negedge:RADDR[10] posedge:RCLK 290:290:290 -SETUP negedge:RCLKE posedge:RCLK 380:380:380 -SETUP negedge:RE posedge:RCLK 140:140:140 -SETUP negedge:WADDR[0] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[1] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[2] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[3] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[4] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[5] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[6] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[7] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[8] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[9] posedge:WCLK 320:320:320 -SETUP negedge:WADDR[10] posedge:WCLK 320:320:320 -SETUP negedge:WCLKE posedge:WCLK 380:380:380 -SETUP negedge:WDATA[0] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[1] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[2] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[3] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[4] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[5] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[6] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[7] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[8] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[9] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[10] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[11] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[12] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[13] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[14] posedge:WCLK 230:230:230 -SETUP negedge:WDATA[15] posedge:WCLK 230:230:230 -SETUP negedge:WE posedge:WCLK 190:190:190 -SETUP posedge:MASK[0] posedge:WCLK 390:390:390 -SETUP posedge:MASK[1] posedge:WCLK 390:390:390 -SETUP posedge:MASK[2] posedge:WCLK 390:390:390 -SETUP posedge:MASK[3] posedge:WCLK 390:390:390 -SETUP posedge:MASK[4] posedge:WCLK 390:390:390 -SETUP posedge:MASK[5] posedge:WCLK 390:390:390 -SETUP posedge:MASK[6] posedge:WCLK 390:390:390 -SETUP posedge:MASK[7] posedge:WCLK 390:390:390 -SETUP posedge:MASK[8] posedge:WCLK 390:390:390 -SETUP posedge:MASK[9] posedge:WCLK 390:390:390 -SETUP posedge:MASK[10] posedge:WCLK 390:390:390 -SETUP posedge:MASK[11] posedge:WCLK 390:390:390 -SETUP posedge:MASK[12] posedge:WCLK 390:390:390 -SETUP posedge:MASK[13] posedge:WCLK 390:390:390 -SETUP posedge:MASK[14] posedge:WCLK 390:390:390 -SETUP posedge:MASK[15] posedge:WCLK 390:390:390 -SETUP posedge:RADDR[0] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[1] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[2] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[3] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[4] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[5] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[6] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[7] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[8] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[9] posedge:RCLK 290:290:290 -SETUP posedge:RADDR[10] posedge:RCLK 290:290:290 -SETUP posedge:RCLKE posedge:RCLK 380:380:380 -SETUP posedge:RE posedge:RCLK 140:140:140 -SETUP posedge:WADDR[0] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[1] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[2] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[3] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[4] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[5] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[6] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[7] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[8] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[9] posedge:WCLK 320:320:320 -SETUP posedge:WADDR[10] posedge:WCLK 320:320:320 -SETUP posedge:WCLKE posedge:WCLK 380:380:380 -SETUP posedge:WDATA[0] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[1] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[2] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[3] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[4] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[5] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[6] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[7] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[8] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[9] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[10] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[11] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[12] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[13] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[14] posedge:WCLK 230:230:230 -SETUP posedge:WDATA[15] posedge:WCLK 230:230:230 -SETUP posedge:WE posedge:WCLK 190:190:190 -IOPATH posedge:RCLK RDATA[0] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[1] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[2] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[3] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[4] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[5] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[6] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[7] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[8] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[9] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[10] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[11] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[12] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[13] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[14] 890:890:890 890:890:890 -IOPATH posedge:RCLK RDATA[15] 890:890:890 890:890:890 +HOLD posedge:RADDR[0] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[1] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[2] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[3] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[4] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[5] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[6] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[7] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[8] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[9] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RADDR[10] posedge:RCLK 39.334:68.772:105.956 +HOLD posedge:RCLKE posedge:RCLK 36.8756:64.4738:99.334 +HOLD posedge:RE posedge:RCLK 59.001:103.158:158.934 +HOLD posedge:WADDR[0] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[1] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[2] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[3] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[4] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[5] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[6] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[7] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[8] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[9] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WADDR[10] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WCLKE posedge:WCLK 19.1753:33.5264:51.6537 +HOLD posedge:WDATA[0] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[1] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[2] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[3] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[4] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[5] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[6] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[7] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[8] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[9] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[10] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[11] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[12] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[13] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[14] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WDATA[15] posedge:WCLK 24.5837:42.9825:66.2227 +HOLD posedge:WE posedge:WCLK 34.4172:60.1755:92.7118 +SETUP negedge:MASK[0] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[1] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[2] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[3] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[4] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[5] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[6] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[7] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[8] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[9] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[10] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[11] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[12] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[13] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[14] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:MASK[15] posedge:WCLK 191.753:335.264:516.537 +SETUP negedge:RADDR[0] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[1] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[2] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[3] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[4] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[5] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[6] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[7] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[8] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[9] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RADDR[10] posedge:RCLK 142.586:249.299:384.092 +SETUP negedge:RCLKE posedge:RCLK 186.836:326.667:503.292 +SETUP negedge:RE posedge:RCLK 68.8345:120.351:185.424 +SETUP negedge:WADDR[0] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[1] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[2] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[3] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[4] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[5] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[6] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[7] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[8] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[9] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WADDR[10] posedge:WCLK 157.336:275.088:423.825 +SETUP negedge:WCLKE posedge:WCLK 186.836:326.667:503.292 +SETUP negedge:WDATA[0] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[1] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[2] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[3] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[4] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[5] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[6] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[7] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[8] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[9] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[10] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[11] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[12] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[13] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[14] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WDATA[15] posedge:WCLK 113.085:197.72:304.624 +SETUP negedge:WE posedge:WCLK 93.4182:163.334:251.646 +SETUP posedge:MASK[0] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[1] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[2] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[3] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[4] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[5] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[6] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[7] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[8] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[9] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[10] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[11] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[12] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[13] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[14] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:MASK[15] posedge:WCLK 191.753:335.264:516.537 +SETUP posedge:RADDR[0] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[1] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[2] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[3] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[4] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[5] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[6] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[7] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[8] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[9] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RADDR[10] posedge:RCLK 142.586:249.299:384.092 +SETUP posedge:RCLKE posedge:RCLK 186.836:326.667:503.292 +SETUP posedge:RE posedge:RCLK 68.8345:120.351:185.424 +SETUP posedge:WADDR[0] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[1] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[2] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[3] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[4] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[5] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[6] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[7] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[8] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[9] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WADDR[10] posedge:WCLK 157.336:275.088:423.825 +SETUP posedge:WCLKE posedge:WCLK 186.836:326.667:503.292 +SETUP posedge:WDATA[0] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[1] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[2] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[3] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[4] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[5] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[6] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[7] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[8] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[9] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[10] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[11] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[12] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[13] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[14] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WDATA[15] posedge:WCLK 113.085:197.72:304.624 +SETUP posedge:WE posedge:WCLK 93.4182:163.334:251.646 +IOPATH posedge:RCLK RDATA[0] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[1] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[2] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[3] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[4] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[5] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[6] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[7] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[8] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[9] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[10] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[11] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[12] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[13] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[14] 437.591:765.089:1178.76 437.591:765.089:1178.76 +IOPATH posedge:RCLK RDATA[15] 437.591:765.089:1178.76 437.591:765.089:1178.76 CELL SB_RGBA_DRV -IOPATH RGB0PWM RGB0 75000:75000:75000 105000:105000:105000 -IOPATH RGB1PWM RGB1 75000:75000:75000 105000:105000:105000 -IOPATH RGB2PWM RGB2 75000:75000:75000 105000:105000:105000 -IOPATH RGBLEDEN RGB0 1e+06:1e+06:1e+06 1e+06:1e+06:1e+06 -IOPATH RGBLEDEN RGB0 100000:100000:100000 100000:100000:100000 -IOPATH RGBLEDEN RGB1 1e+06:1e+06:1e+06 1e+06:1e+06:1e+06 -IOPATH RGBLEDEN RGB1 100000:100000:100000 100000:100000:100000 -IOPATH RGBLEDEN RGB2 1e+06:1e+06:1e+06 1e+06:1e+06:1e+06 -IOPATH RGBLEDEN RGB2 100000:100000:100000 100000:100000:100000 +IOPATH RGB0PWM RGB0 36875.6:64473.8:99334 51625.9:90263.3:139068 +IOPATH RGB1PWM RGB1 36875.6:64473.8:99334 51625.9:90263.3:139068 +IOPATH RGB2PWM RGB2 36875.6:64473.8:99334 51625.9:90263.3:139068 +IOPATH RGBLEDEN RGB0 49167.5:85965.1:132445 49167.5:85965.1:132445 +IOPATH RGBLEDEN RGB0 491675:859651:1.32445e+06 491675:859651:1.32445e+06 +IOPATH RGBLEDEN RGB1 49167.5:85965.1:132445 49167.5:85965.1:132445 +IOPATH RGBLEDEN RGB1 491675:859651:1.32445e+06 491675:859651:1.32445e+06 +IOPATH RGBLEDEN RGB2 49167.5:85965.1:132445 49167.5:85965.1:132445 +IOPATH RGBLEDEN RGB2 491675:859651:1.32445e+06 491675:859651:1.32445e+06 CELL SB_SPRAM256KA -HOLD negedge:ADDRESS[0] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[1] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[2] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[3] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[4] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[5] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[6] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[7] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[8] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[9] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[10] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[11] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[12] posedge:CLOCK 104:104:104 -HOLD negedge:ADDRESS[13] posedge:CLOCK 104:104:104 -HOLD negedge:CHIPSELECT posedge:CLOCK 6:6:6 -HOLD negedge:DATAIN[0] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[1] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[2] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[3] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[4] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[5] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[6] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[7] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[8] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[9] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[10] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[11] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[12] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[13] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[14] posedge:CLOCK 157:157:157 -HOLD negedge:DATAIN[15] posedge:CLOCK 157:157:157 -HOLD negedge:MASKWREN[0] posedge:CLOCK 157:157:157 -HOLD negedge:MASKWREN[1] posedge:CLOCK 157:157:157 -HOLD negedge:MASKWREN[2] posedge:CLOCK 157:157:157 -HOLD negedge:MASKWREN[3] posedge:CLOCK 157:157:157 -HOLD negedge:SLEEP posedge:CLOCK 189:189:189 -HOLD negedge:STANDBY posedge:CLOCK 203:203:203 -HOLD negedge:WREN posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[0] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[1] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[2] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[3] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[4] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[5] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[6] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[7] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[8] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[9] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[10] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[11] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[12] posedge:CLOCK 104:104:104 -HOLD posedge:ADDRESS[13] posedge:CLOCK 104:104:104 -HOLD posedge:CHIPSELECT posedge:CLOCK 6:6:6 -HOLD posedge:DATAIN[0] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[1] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[2] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[3] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[4] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[5] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[6] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[7] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[8] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[9] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[10] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[11] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[12] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[13] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[14] posedge:CLOCK 157:157:157 -HOLD posedge:DATAIN[15] posedge:CLOCK 157:157:157 -HOLD posedge:MASKWREN[0] posedge:CLOCK 157:157:157 -HOLD posedge:MASKWREN[1] posedge:CLOCK 157:157:157 -HOLD posedge:MASKWREN[2] posedge:CLOCK 157:157:157 -HOLD posedge:MASKWREN[3] posedge:CLOCK 157:157:157 -HOLD posedge:SLEEP posedge:CLOCK 189:189:189 -HOLD posedge:STANDBY posedge:CLOCK 203:203:203 -HOLD posedge:WREN posedge:CLOCK 104:104:104 -SETUP negedge:ADDRESS[0] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[1] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[2] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[3] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[4] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[5] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[6] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[7] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[8] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[9] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[10] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[11] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[12] posedge:CLOCK 202:202:202 -SETUP negedge:ADDRESS[13] posedge:CLOCK 202:202:202 -SETUP negedge:CHIPSELECT posedge:CLOCK 305:305:305 -SETUP negedge:DATAIN[0] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[1] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[2] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[3] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[4] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[5] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[6] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[7] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[8] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[9] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[10] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[11] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[12] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[13] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[14] posedge:CLOCK 108:108:108 -SETUP negedge:DATAIN[15] posedge:CLOCK 108:108:108 -SETUP negedge:MASKWREN[0] posedge:CLOCK 108:108:108 -SETUP negedge:MASKWREN[1] posedge:CLOCK 108:108:108 -SETUP negedge:MASKWREN[2] posedge:CLOCK 108:108:108 -SETUP negedge:MASKWREN[3] posedge:CLOCK 108:108:108 -SETUP negedge:SLEEP posedge:CLOCK 31338:31338:31338 -SETUP negedge:STANDBY posedge:CLOCK 1295:1295:1295 -SETUP negedge:WREN posedge:CLOCK 218:218:218 -SETUP posedge:ADDRESS[0] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[1] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[2] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[3] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[4] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[5] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[6] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[7] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[8] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[9] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[10] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[11] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[12] posedge:CLOCK 202:202:202 -SETUP posedge:ADDRESS[13] posedge:CLOCK 202:202:202 -SETUP posedge:CHIPSELECT posedge:CLOCK 305:305:305 -SETUP posedge:DATAIN[0] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[1] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[2] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[3] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[4] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[5] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[6] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[7] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[8] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[9] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[10] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[11] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[12] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[13] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[14] posedge:CLOCK 108:108:108 -SETUP posedge:DATAIN[15] posedge:CLOCK 108:108:108 -SETUP posedge:MASKWREN[0] posedge:CLOCK 108:108:108 -SETUP posedge:MASKWREN[1] posedge:CLOCK 108:108:108 -SETUP posedge:MASKWREN[2] posedge:CLOCK 108:108:108 -SETUP posedge:MASKWREN[3] posedge:CLOCK 108:108:108 -SETUP posedge:SLEEP posedge:CLOCK 525:525:525 -SETUP posedge:STANDBY posedge:CLOCK 155:155:155 -SETUP posedge:WREN posedge:CLOCK 218:218:218 -IOPATH posedge:CLOCK DATAOUT[0] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[1] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[2] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[3] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[4] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[5] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[6] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[7] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[8] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[9] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[10] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[11] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[12] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[13] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[14] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:CLOCK DATAOUT[15] 1375:1375:1375 1375:1375:1375 -IOPATH posedge:SLEEP DATAOUT[0] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[1] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[2] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[3] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[4] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[5] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[6] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[7] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[8] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[9] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[10] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[11] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[12] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[13] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[14] 830:830:830 830:830:830 -IOPATH posedge:SLEEP DATAOUT[15] 830:830:830 830:830:830 +HOLD negedge:ADDRESS[0] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[1] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[2] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[3] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[4] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[5] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[6] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[7] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[8] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[9] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[10] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[11] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[12] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:ADDRESS[13] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD negedge:CHIPSELECT posedge:CLOCK 2.95005:5.1579:7.94672 +HOLD negedge:DATAIN[0] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[1] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[2] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[3] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[4] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[5] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[6] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[7] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[8] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[9] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[10] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[11] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[12] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[13] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[14] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:DATAIN[15] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:MASKWREN[0] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:MASKWREN[1] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:MASKWREN[2] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:MASKWREN[3] posedge:CLOCK 77.193:134.965:207.939 +HOLD negedge:SLEEP posedge:CLOCK 92.9266:162.474:250.322 +HOLD negedge:STANDBY posedge:CLOCK 99.81:174.509:268.864 +HOLD negedge:WREN posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[0] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[1] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[2] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[3] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[4] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[5] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[6] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[7] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[8] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[9] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[10] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[11] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[12] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:ADDRESS[13] posedge:CLOCK 51.1342:89.4037:137.743 +HOLD posedge:CHIPSELECT posedge:CLOCK 2.95005:5.1579:7.94672 +HOLD posedge:DATAIN[0] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[1] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[2] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[3] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[4] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[5] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[6] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[7] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[8] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[9] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[10] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[11] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[12] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[13] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[14] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:DATAIN[15] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:MASKWREN[0] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:MASKWREN[1] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:MASKWREN[2] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:MASKWREN[3] posedge:CLOCK 77.193:134.965:207.939 +HOLD posedge:SLEEP posedge:CLOCK 92.9266:162.474:250.322 +HOLD posedge:STANDBY posedge:CLOCK 99.81:174.509:268.864 +HOLD posedge:WREN posedge:CLOCK 51.1342:89.4037:137.743 +SETUP negedge:ADDRESS[0] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[1] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[2] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[3] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[4] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[5] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[6] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[7] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[8] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[9] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[10] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[11] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[12] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:ADDRESS[13] posedge:CLOCK 99.3183:173.649:267.54 +SETUP negedge:CHIPSELECT posedge:CLOCK 149.961:262.193:403.958 +SETUP negedge:DATAIN[0] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[1] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[2] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[3] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[4] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[5] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[6] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[7] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[8] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[9] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[10] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[11] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[12] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[13] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[14] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:DATAIN[15] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:MASKWREN[0] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:MASKWREN[1] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:MASKWREN[2] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:MASKWREN[3] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP negedge:SLEEP posedge:CLOCK 15408.1:26939.7:41505.7 +SETUP negedge:STANDBY posedge:CLOCK 636.719:1113.25:1715.17 +SETUP negedge:WREN posedge:CLOCK 107.185:187.404:288.731 +SETUP posedge:ADDRESS[0] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[1] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[2] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[3] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[4] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[5] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[6] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[7] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[8] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[9] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[10] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[11] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[12] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:ADDRESS[13] posedge:CLOCK 99.3183:173.649:267.54 +SETUP posedge:CHIPSELECT posedge:CLOCK 149.961:262.193:403.958 +SETUP posedge:DATAIN[0] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[1] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[2] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[3] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[4] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[5] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[6] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[7] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[8] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[9] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[10] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[11] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[12] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[13] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[14] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:DATAIN[15] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:MASKWREN[0] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:MASKWREN[1] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:MASKWREN[2] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:MASKWREN[3] posedge:CLOCK 53.1009:92.8423:143.041 +SETUP posedge:SLEEP posedge:CLOCK 258.129:451.317:695.338 +SETUP posedge:STANDBY posedge:CLOCK 76.2096:133.246:205.29 +SETUP posedge:WREN posedge:CLOCK 107.185:187.404:288.731 +IOPATH posedge:CLOCK DATAOUT[0] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[1] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[2] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[3] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[4] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[5] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[6] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[7] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[8] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[9] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[10] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[11] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[12] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[13] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[14] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:CLOCK DATAOUT[15] 676.053:1182.02:1821.12 676.053:1182.02:1821.12 +IOPATH posedge:SLEEP DATAOUT[0] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[1] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[2] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[3] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[4] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[5] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[6] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[7] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[8] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[9] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[10] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[11] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[12] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[13] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[14] 408.09:713.51:1099.3 408.09:713.51:1099.3 +IOPATH posedge:SLEEP DATAOUT[15] 408.09:713.51:1099.3 408.09:713.51:1099.3 CELL Sp12to4 -IOPATH I O 450:450:450 640:640:640 +IOPATH I O 221.254:386.843:596.004 314.672:550.176:847.65 CELL Span4Mux_h0 -IOPATH I O 190:190:190 180:180:180 +IOPATH I O 93.4182:163.334:251.646 88.5015:154.737:238.402 CELL Span4Mux_h1 -IOPATH I O 230:230:230 220:220:220 +IOPATH I O 113.085:197.72:304.624 108.168:189.123:291.38 CELL Span4Mux_h2 -IOPATH I O 260:260:260 260:260:260 +IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358 CELL Span4Mux_h3 -IOPATH I O 300:300:300 300:300:300 +IOPATH I O 147.502:257.895:397.336 147.502:257.895:397.336 CELL Span4Mux_h4 -IOPATH I O 390:390:390 410:410:410 +IOPATH I O 191.753:335.264:516.537 201.587:352.457:543.026 CELL Span4Mux_v0 -IOPATH I O 260:260:260 260:260:260 +IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358 CELL Span4Mux_v1 -IOPATH I O 260:260:260 260:260:260 +IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358 CELL Span4Mux_v2 -IOPATH I O 330:330:330 340:340:340 +IOPATH I O 162.253:283.685:437.07 167.169:292.281:450.314 CELL Span4Mux_v3 -IOPATH I O 410:410:410 440:440:440 +IOPATH I O 201.587:352.457:543.026 216.337:378.246:582.76 CELL Span4Mux_v4 -IOPATH I O 450:450:450 490:490:490 +IOPATH I O 221.254:386.843:596.004 240.921:421.229:648.982 CELL Span12Mux_h0 -IOPATH I O 230:230:230 250:250:250 +IOPATH I O 113.085:197.72:304.624 122.919:214.913:331.113 CELL Span12Mux_h1 -IOPATH I O 220:220:220 230:230:230 +IOPATH I O 108.168:189.123:291.38 113.085:197.72:304.624 CELL Span12Mux_h2 -IOPATH I O 260:260:260 290:290:290 +IOPATH I O 127.835:223.509:344.358 142.586:249.299:384.092 CELL Span12Mux_h3 -IOPATH I O 280:280:280 310:310:310 +IOPATH I O 137.669:240.702:370.847 152.419:266.492:410.581 CELL Span12Mux_h4 -IOPATH I O 320:320:320 370:370:370 +IOPATH I O 157.336:275.088:423.825 181.92:318.071:490.048 CELL Span12Mux_h5 -IOPATH I O 380:380:380 440:440:440 +IOPATH I O 186.836:326.667:503.292 216.337:378.246:582.76 CELL Span12Mux_h6 -IOPATH I O 420:420:420 490:490:490 +IOPATH I O 206.503:361.053:556.271 240.921:421.229:648.982 CELL Span12Mux_h7 -IOPATH I O 470:470:470 560:560:560 +IOPATH I O 231.087:404.036:622.493 275.338:481.404:741.694 CELL Span12Mux_h8 -IOPATH I O 570:570:570 660:660:660 +IOPATH I O 280.255:490.001:754.939 324.505:567.369:874.139 CELL Span12Mux_h9 -IOPATH I O 650:650:650 740:740:740 +IOPATH I O 319.589:558.773:860.895 363.839:636.141:980.096 CELL Span12Mux_h10 -IOPATH I O 710:710:710 810:810:810 +IOPATH I O 349.089:610.352:940.362 398.257:696.317:1072.81 CELL Span12Mux_h11 -IOPATH I O 780:780:780 900:900:900 +IOPATH I O 383.506:670.527:1033.07 442.507:773.685:1192.01 CELL Span12Mux_h12 -IOPATH I O 810:810:810 930:930:930 +IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74 CELL Span12Mux_v0 -IOPATH I O 150:150:150 150:150:150 +IOPATH I O 73.7512:128.948:198.668 73.7512:128.948:198.668 CELL Span12Mux_v1 -IOPATH I O 150:150:150 160:160:160 +IOPATH I O 73.7512:128.948:198.668 78.668:137.544:211.913 CELL Span12Mux_v2 -IOPATH I O 210:210:210 230:230:230 +IOPATH I O 103.252:180.527:278.135 113.085:197.72:304.624 CELL Span12Mux_v3 -IOPATH I O 230:230:230 250:250:250 +IOPATH I O 113.085:197.72:304.624 122.919:214.913:331.113 CELL Span12Mux_v4 -IOPATH I O 280:280:280 310:310:310 +IOPATH I O 137.669:240.702:370.847 152.419:266.492:410.581 CELL Span12Mux_v5 -IOPATH I O 350:350:350 400:400:400 +IOPATH I O 172.086:300.878:463.559 196.67:343.86:529.781 CELL Span12Mux_v6 -IOPATH I O 390:390:390 440:440:440 +IOPATH I O 191.753:335.264:516.537 216.337:378.246:582.76 CELL Span12Mux_v7 -IOPATH I O 420:420:420 480:480:480 +IOPATH I O 206.503:361.053:556.271 236.004:412.632:635.738 CELL Span12Mux_v8 -IOPATH I O 540:540:540 600:600:600 +IOPATH I O 265.504:464.211:715.205 295.005:515.79:794.672 CELL Span12Mux_v9 -IOPATH I O 570:570:570 630:630:630 +IOPATH I O 280.255:490.001:754.939 309.755:541.58:834.406 CELL Span12Mux_v10 -IOPATH I O 590:590:590 660:660:660 +IOPATH I O 290.088:507.194:781.428 324.505:567.369:874.139 CELL Span12Mux_v11 -IOPATH I O 610:610:610 690:690:690 +IOPATH I O 299.922:524.387:807.917 339.256:593.159:913.873 CELL Span12Mux_v12 -IOPATH I O 740:740:740 810:810:810 -IOPATH I O 810:810:810 930:930:930 +IOPATH I O 363.839:636.141:980.096 398.257:696.317:1072.81 +IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74 CELL SRMux -IOPATH I O 480:480:480 400:400:400 +IOPATH I O 236.004:412.632:635.738 196.67:343.86:529.781 diff --git a/icefuzz/tmedges.txt b/icefuzz/tmedges.txt index cb4bdba..7353814 100644 --- a/icefuzz/tmedges.txt +++ b/icefuzz/tmedges.txt @@ -25,6 +25,7 @@ CEMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_S_8X8_BYPASS.CE CEMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_U_16X16_BYPASS.CE +CEMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.CE CEMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CE CEMux.O SB_MAC16_MUL_U_8X8_BYPASS.CE CEMux.O SB_RAM40_4K.RCLKE @@ -84,6 +85,7 @@ ClkMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_S_8X8_BYPASS.CLK ClkMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_U_16X16_BYPASS.CLK +ClkMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.CLK ClkMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CLK ClkMux.O SB_MAC16_MUL_U_8X8_BYPASS.CLK ClkMux.O SB_RAM40_4K.RCLK @@ -141,6 +143,7 @@ GND.Y SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_S_8X8_BYPASS.CLK GND.Y SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_U_16X16_BYPASS.CLK +GND.Y SB_MAC16_MUL_U_16X16_IM_BYPASS.CLK GND.Y SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CLK GND.Y SB_MAC16_MUL_U_8X8_BYPASS.CLK GND.Y SB_RAM40_4K.RCLK @@ -174,6 +177,7 @@ InMux.O SB_LFOSC.CLKLFPU InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[0] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[10] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[12] @@ -181,6 +185,7 @@ InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[13] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[14] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[15] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[1] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[2] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[3] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[4] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.A[5] @@ -229,6 +234,7 @@ InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[10] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[11] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[12] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[13] +InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[14] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[15] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[1] InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.D[2] @@ -246,7 +252,9 @@ InMux.O SB_MAC16_ACC_U_16P16_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_ACC_U_16P16_BYPASS.ADDSUBBOT InMux.O SB_MAC16_ACC_U_16P16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_ACC_U_16P16_BYPASS.AHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[0] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[10] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[11] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[12] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[13] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[14] @@ -261,6 +269,7 @@ InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[7] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[8] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.A[9] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.BHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[0] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[10] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[11] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.B[12] @@ -281,6 +290,7 @@ InMux.O SB_MAC16_ACC_U_16P16_BYPASS.CI InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[0] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[10] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[11] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[12] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[13] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[14] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[15] @@ -294,12 +304,15 @@ InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[7] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[8] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.C[9] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.DHOLD +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[0] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[10] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[11] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[12] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[13] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[14] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[15] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[1] +InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[2] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[3] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[4] InMux.O SB_MAC16_ACC_U_16P16_BYPASS.D[5] @@ -388,8 +401,12 @@ InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_ACC_U_32P32_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_ACC_U_32P32_BYPASS.ADDSUBBOT InMux.O SB_MAC16_ACC_U_32P32_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.AHOLD +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[0] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[10] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[11] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[12] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[13] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[14] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[15] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[1] @@ -397,8 +414,10 @@ InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[2] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[3] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[4] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[5] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[6] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[7] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.A[9] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.BHOLD InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[0] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[10] @@ -411,40 +430,49 @@ InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[1] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[2] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[3] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[5] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[6] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[7] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[8] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.B[9] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.CHOLD +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.CI InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[0] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[10] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[11] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[12] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[13] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[14] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[15] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[1] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[2] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[3] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[4] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[5] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[6] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[7] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[8] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.C[9] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.DHOLD InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[0] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[10] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[11] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[12] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[13] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[14] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[15] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[1] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[2] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[3] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[4] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[5] +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[6] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[7] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[8] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.D[9] InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OHOLDBOT InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OHOLDTOP +InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OLOADBOT InMux.O SB_MAC16_ACC_U_32P32_BYPASS.OLOADTOP InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ADDSUBTOP @@ -477,11 +505,13 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[1] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[2] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[3] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[4] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[5] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[6] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[7] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[8] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.B[9] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CHOLD +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CI InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[10] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.C[11] @@ -514,9 +544,11 @@ InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[5] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[6] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[7] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.D[9] InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_ADS_U_16P16_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_ADS_U_16P16_BYPASS.ADDSUBBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.ADDSUBTOP InMux.O SB_MAC16_ADS_U_16P16_BYPASS.AHOLD @@ -544,6 +576,7 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[12] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[13] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[14] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[15] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[1] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[2] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[3] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.B[4] @@ -577,6 +610,7 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[11] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[12] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[13] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[14] +InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[15] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[1] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[2] InMux.O SB_MAC16_ADS_U_16P16_BYPASS.D[3] @@ -590,6 +624,7 @@ InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OHOLDTOP InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADBOT InMux.O SB_MAC16_ADS_U_16P16_BYPASS.OLOADTOP +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.A[0] @@ -613,10 +648,13 @@ InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[0] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[10] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[11] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[13] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[14] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[15] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[2] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[3] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[4] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[5] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[6] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.B[7] @@ -626,18 +664,23 @@ InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CHOLD InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CI InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[0] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[10] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[11] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[13] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[14] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[15] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[1] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[2] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[3] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[4] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[5] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[6] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[7] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[8] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.C[9] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.DHOLD InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[0] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[10] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[11] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[12] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[13] @@ -648,19 +691,24 @@ InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[2] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[3] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[4] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[5] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[6] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[8] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.D[9] InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OLOADBOT +InMux.O SB_MAC16_ADS_U_32P32_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_ADS_U_32P32_BYPASS.ADDSUBBOT InMux.O SB_MAC16_ADS_U_32P32_BYPASS.ADDSUBTOP InMux.O SB_MAC16_ADS_U_32P32_BYPASS.AHOLD +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[0] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[10] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[11] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[12] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[14] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[15] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[1] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[2] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.A[3] @@ -689,6 +737,7 @@ InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[8] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.B[9] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.CHOLD InMux.O SB_MAC16_ADS_U_32P32_BYPASS.CI +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[0] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[10] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[11] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.C[12] @@ -708,6 +757,7 @@ InMux.O SB_MAC16_ADS_U_32P32_BYPASS.DHOLD InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[0] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[10] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[11] +InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[12] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[13] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[14] InMux.O SB_MAC16_ADS_U_32P32_BYPASS.D[15] @@ -882,9 +932,11 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[11] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[14] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[15] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[1] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[2] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[3] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[4] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.A[6] @@ -900,6 +952,8 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[13] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[14] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[15] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[1] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[2] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[3] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[4] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.B[6] @@ -913,6 +967,7 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[10] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[11] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[12] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[13] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[14] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[15] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[1] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[2] @@ -921,6 +976,7 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[4] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[6] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[7] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[8] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.C[9] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.DHOLD InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[0] @@ -936,9 +992,12 @@ InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[3] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[4] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[5] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[6] +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[7] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[8] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.D[9] InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OLOADBOT InMux.O SB_MAC16_MAC_U_16X16_BYPASS.OLOADTOP InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ADDSUBTOP @@ -946,8 +1005,10 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[14] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[15] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[2] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[3] @@ -956,6 +1017,7 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[7] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[8] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[10] @@ -966,6 +1028,7 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[15] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[2] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[3] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[4] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.B[6] @@ -979,7 +1042,9 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[10] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[11] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[12] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[13] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[15] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[2] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[3] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.C[4] @@ -993,14 +1058,18 @@ InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[0] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[10] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[11] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[12] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[13] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[14] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[15] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[1] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[2] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[3] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[4] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[5] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[6] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[7] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[8] +InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.D[9] InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.OLOADBOT @@ -1011,6 +1080,7 @@ InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.AHOLD InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[0] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[11] +InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[14] InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.A[15] @@ -1079,10 +1149,12 @@ InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OHOLDBOT InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OHOLDTOP InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.OLOADTOP +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.AHOLD InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[0] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[11] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[12] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[13] InMux.O SB_MAC16_MAC_U_16X16_IM_BYPASS.A[14] @@ -1244,6 +1316,7 @@ InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[4] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[5] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[6] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[7] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[8] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.A[9] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.BHOLD InMux.O SB_MAC16_MAC_U_8X8_BYPASS.B[0] @@ -1284,8 +1357,10 @@ InMux.O SB_MAC16_MAC_U_8X8_BYPASS.DHOLD InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[0] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[10] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[12] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[13] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[15] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[1] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[2] InMux.O SB_MAC16_MAC_U_8X8_BYPASS.D[3] @@ -1309,12 +1384,14 @@ InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[12] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[13] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[14] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[15] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[1] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[2] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[3] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[4] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[5] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[6] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[7] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[8] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.A[9] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.BHOLD InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.B[0] @@ -1346,6 +1423,7 @@ InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[1] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[2] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[3] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[4] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[5] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[6] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[7] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.C[8] @@ -1357,6 +1435,7 @@ InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[11] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[12] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[13] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[14] +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[15] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[1] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[2] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[3] @@ -1368,6 +1447,7 @@ InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[8] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.D[9] InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OHOLDBOT InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OLOADBOT InMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.OLOADTOP InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ADDSUBTOP @@ -1379,9 +1459,11 @@ InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[13] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[14] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[15] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[1] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[2] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[3] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[4] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[5] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[6] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[7] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[8] @@ -1389,6 +1471,7 @@ InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.A[9] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.BHOLD InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[0] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[10] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[11] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[12] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[13] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[14] @@ -1398,7 +1481,10 @@ InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[2] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[3] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[4] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[5] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[6] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[7] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[8] +InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.B[9] InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CHOLD InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CI InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.C[0] @@ -1441,10 +1527,12 @@ InMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.AHOLD +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[0] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[10] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[11] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[12] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[13] +InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[14] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[15] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[1] InMux.O SB_MAC16_MUL_S_16X16_ALL_PIPELINE.A[2] @@ -1595,6 +1683,7 @@ InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[11] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[12] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[13] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[15] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[1] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[2] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[3] @@ -1606,6 +1695,7 @@ InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[8] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.A[9] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.BHOLD InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[0] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[10] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[11] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[12] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.B[13] @@ -1653,6 +1743,7 @@ InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[4] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[5] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[6] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[8] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.D[9] InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDBOT InMux.O SB_MAC16_MUL_S_16X16_IM_BYPASS.OHOLDTOP @@ -1711,6 +1802,7 @@ InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[5] InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[6] InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[7] InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[8] +InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.C[9] InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.DHOLD InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[0] InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.D[10] @@ -1734,6 +1826,7 @@ InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OLOADBOT InMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.OLOADTOP InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBBOT InMux.O SB_MAC16_MUL_S_8X8_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.AHOLD InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[0] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[10] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[11] @@ -1743,19 +1836,27 @@ InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[14] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[15] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[1] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[3] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[4] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[5] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[6] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[7] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[8] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.A[9] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.BHOLD InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[0] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[10] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[11] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[12] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[13] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[14] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[15] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[1] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[2] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[3] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[4] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[6] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[7] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[8] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.B[9] @@ -1764,27 +1865,34 @@ InMux.O SB_MAC16_MUL_S_8X8_BYPASS.CI InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[0] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[10] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[11] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[12] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[13] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[14] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[15] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[1] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[3] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[4] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[5] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[6] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[7] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[8] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.C[9] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.DHOLD InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[0] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[10] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[11] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[12] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[13] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[14] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[15] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[1] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[2] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[3] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[4] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[5] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[6] +InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[7] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[8] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.D[9] InMux.O SB_MAC16_MUL_S_8X8_BYPASS.OHOLDBOT @@ -1833,6 +1941,7 @@ InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[0] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[10] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[11] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[12] +InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[13] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[14] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[15] InMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.C[1] @@ -1909,6 +2018,7 @@ InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[11] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[12] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[13] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[14] +InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[15] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[1] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[2] InMux.O SB_MAC16_MUL_U_16X16_BYPASS.C[3] @@ -1939,6 +2049,81 @@ InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OHOLDBOT InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OHOLDTOP InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OLOADBOT InMux.O SB_MAC16_MUL_U_16X16_BYPASS.OLOADTOP +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.ADDSUBBOT +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.ADDSUBTOP +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.AHOLD +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[0] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[10] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[11] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[12] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[13] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[14] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[15] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[1] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[2] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[3] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[4] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[5] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[6] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[7] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[8] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.A[9] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.BHOLD +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[0] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[10] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[11] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[12] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[13] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[14] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[15] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[1] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[2] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[3] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[4] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[5] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[6] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[7] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[8] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.B[9] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.CI +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[0] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[10] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[11] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[12] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[13] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[14] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[15] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[1] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[2] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[3] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[4] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[5] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[6] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[7] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[8] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.C[9] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.DHOLD +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[0] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[10] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[11] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[12] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[13] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[14] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[15] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[1] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[2] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[3] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[4] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[5] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[6] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[7] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[8] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.D[9] +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.OHOLDBOT +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.OHOLDTOP +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.OLOADBOT +InMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.OLOADTOP InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ADDSUBBOT InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ADDSUBTOP InMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.AHOLD @@ -2019,6 +2204,7 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.ADDSUBTOP InMux.O SB_MAC16_MUL_U_8X8_BYPASS.AHOLD InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[11] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[13] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[14] @@ -2035,18 +2221,22 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.A[9] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.BHOLD InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[10] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[11] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[13] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[14] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[1] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[2] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[5] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[6] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[7] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[8] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.B[9] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.CHOLD +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.CI InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[10] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[11] @@ -2054,6 +2244,9 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[13] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[14] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[15] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[2] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[5] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.C[6] @@ -2064,10 +2257,12 @@ InMux.O SB_MAC16_MUL_U_8X8_BYPASS.DHOLD InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[0] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[10] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[11] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[12] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[13] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[14] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[15] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[1] +InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[2] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[3] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[4] InMux.O SB_MAC16_MUL_U_8X8_BYPASS.D[5] @@ -2340,14 +2535,20 @@ SB_LEDDA_IP.PWMOUT2 DummyBuf.I SB_LFOSC.CLKLF GlobalMux.I SB_LFOSC.CLKLF Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ACCUMCO SB_MAC16_ACC_U_16P16_ALL_PIPELINE.ACCUMCI +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[13] Odrv12.I @@ -2358,6 +2559,7 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] LocalMux.I @@ -2365,11 +2567,16 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[19] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[20] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[22] LocalMux.I @@ -2379,18 +2586,24 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[25] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[26] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[27] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[31] Odrv4.I @@ -2398,6 +2611,7 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[5] Odrv12.I @@ -2406,28 +2620,40 @@ SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_16P16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_ACC_U_16P16_BYPASS.ACCUMCO SB_MAC16_ACC_U_16P16_BYPASS.ACCUMCI SB_MAC16_ACC_U_16P16_BYPASS.CO LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.CO Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.CO Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[0] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[0] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[0] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[10] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[10] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[10] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[11] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[11] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[11] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[12] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[12] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[12] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[13] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[13] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[13] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[14] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[14] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[14] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[15] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[15] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[15] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[16] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[17] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[17] Odrv12.I @@ -2435,41 +2661,66 @@ SB_MAC16_ACC_U_16P16_BYPASS.O[17] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[18] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[18] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[18] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[19] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[19] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[19] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[1] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[1] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[1] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[20] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[20] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[21] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[21] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[22] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[22] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[22] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[23] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[23] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[23] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[24] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[24] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[24] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[25] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[25] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[26] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[26] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[27] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[27] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[27] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[28] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[28] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[28] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[29] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[29] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[2] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[2] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[2] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[30] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[30] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[30] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[31] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[31] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[31] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[3] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[3] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[3] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[4] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[4] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[4] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[5] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[5] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[5] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[6] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[6] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[6] Odrv4.I +SB_MAC16_ACC_U_16P16_BYPASS.O[7] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[7] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[7] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[8] LocalMux.I SB_MAC16_ACC_U_16P16_BYPASS.O[8] Odrv12.I +SB_MAC16_ACC_U_16P16_BYPASS.O[8] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.O[9] LocalMux.I +SB_MAC16_ACC_U_16P16_BYPASS.O[9] Odrv12.I SB_MAC16_ACC_U_16P16_BYPASS.O[9] Odrv4.I SB_MAC16_ACC_U_16P16_BYPASS.SIGNEXTOUT SB_MAC16_ACC_U_16P16_BYPASS.SIGNEXTIN SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCO SB_MAC16_ACC_U_32P32_ALL_PIPELINE.ACCUMCI @@ -2480,6 +2731,7 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[11] Odrv12.I @@ -2499,6 +2751,7 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[18] LocalMux.I @@ -2512,8 +2765,10 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] LocalMux.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[23] Odrv12.I @@ -2564,63 +2819,108 @@ SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ACC_U_32P32_ALL_PIPELINE.SIGNEXTIN SB_MAC16_ACC_U_32P32_BYPASS.ACCUMCO SB_MAC16_ACC_U_32P32_BYPASS.ACCUMCI +SB_MAC16_ACC_U_32P32_BYPASS.CO LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.CO Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.CO Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[0] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[0] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[0] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[10] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[10] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[11] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[11] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[11] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[12] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[12] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[12] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[13] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[13] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[13] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[14] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[14] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[14] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[15] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[15] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[15] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[16] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[16] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[16] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[17] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[17] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[18] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[18] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[18] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[19] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[19] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[1] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[1] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[1] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[20] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[20] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[20] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[21] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[22] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[22] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[22] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[23] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[23] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[24] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[24] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[25] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[25] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[25] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[26] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[26] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[27] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[27] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[28] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[28] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[29] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[29] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[2] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[2] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[2] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[30] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[30] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[31] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[31] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[3] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[3] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[3] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[4] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[4] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[4] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[5] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[5] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[5] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[6] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[6] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[6] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[7] LocalMux.I SB_MAC16_ACC_U_32P32_BYPASS.O[7] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[7] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.O[8] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[8] Odrv12.I SB_MAC16_ACC_U_32P32_BYPASS.O[8] Odrv4.I +SB_MAC16_ACC_U_32P32_BYPASS.O[9] LocalMux.I +SB_MAC16_ACC_U_32P32_BYPASS.O[9] Odrv12.I +SB_MAC16_ACC_U_32P32_BYPASS.O[9] Odrv4.I SB_MAC16_ACC_U_32P32_BYPASS.SIGNEXTOUT SB_MAC16_ACC_U_32P32_BYPASS.SIGNEXTIN SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCO SB_MAC16_ADS_U_16P16_ALL_PIPELINE.ACCUMCI SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv12.I @@ -2628,10 +2928,13 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[17] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[18] Odrv4.I @@ -2641,18 +2944,27 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[20] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[28] Odrv4.I @@ -2661,7 +2973,10 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] LocalMux.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_ADS_U_16P16_ALL_PIPELINE.O[3] LocalMux.I @@ -2689,16 +3004,20 @@ SB_MAC16_ADS_U_16P16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ADS_U_16P16_ALL_PIPELINE.S SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCO SB_MAC16_ADS_U_16P16_BYPASS.ACCUMCI SB_MAC16_ADS_U_16P16_BYPASS.CO LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.CO Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[0] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[0] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[0] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[10] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[10] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[10] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[11] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[11] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[11] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[12] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[13] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[13] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[13] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[14] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[14] Odrv12.I @@ -2715,15 +3034,26 @@ SB_MAC16_ADS_U_16P16_BYPASS.O[19] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[19] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[19] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[1] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[1] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[1] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[20] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[20] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[21] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[21] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[22] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[22] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[22] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[23] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[23] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[24] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[24] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[24] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[25] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[25] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[26] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[26] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[27] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[27] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[27] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[28] Odrv4.I @@ -2733,11 +3063,13 @@ SB_MAC16_ADS_U_16P16_BYPASS.O[2] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[2] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[2] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[30] Odrv4.I +SB_MAC16_ADS_U_16P16_BYPASS.O[31] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[31] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[3] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[3] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[4] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[4] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[4] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[5] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[5] Odrv12.I @@ -2749,28 +3081,51 @@ SB_MAC16_ADS_U_16P16_BYPASS.O[7] LocalMux.I SB_MAC16_ADS_U_16P16_BYPASS.O[7] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[7] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[8] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[8] Odrv12.I +SB_MAC16_ADS_U_16P16_BYPASS.O[8] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.O[9] LocalMux.I +SB_MAC16_ADS_U_16P16_BYPASS.O[9] Odrv12.I SB_MAC16_ADS_U_16P16_BYPASS.O[9] Odrv4.I SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTOUT SB_MAC16_ADS_U_16P16_BYPASS.SIGNEXTIN SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ACCUMCO SB_MAC16_ADS_U_32P32_ALL_PIPELINE.ACCUMCI SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CO Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.CO Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[0] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[10] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[13] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[13] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[16] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[17] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[21] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[22] Odrv4.I @@ -2778,28 +3133,47 @@ SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[27] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[28] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[31] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_ADS_U_32P32_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_ADS_U_32P32_ALL_PIPELINE.SIGNEXTIN SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCO SB_MAC16_ADS_U_32P32_BYPASS.ACCUMCI @@ -2807,10 +3181,14 @@ SB_MAC16_ADS_U_32P32_BYPASS.CO LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.CO Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.CO Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[0] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[0] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[0] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[10] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[10] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[10] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[11] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[11] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[11] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[12] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[12] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[12] Odrv4.I @@ -2818,10 +3196,15 @@ SB_MAC16_ADS_U_32P32_BYPASS.O[13] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[13] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[13] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[14] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[14] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[14] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[15] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[15] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[15] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[16] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[16] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[16] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[17] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[17] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[18] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[18] Odrv4.I @@ -2829,17 +3212,26 @@ SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[19] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[1] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[1] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[1] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[20] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[20] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[20] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[21] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[21] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[22] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[22] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[23] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[23] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[23] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[24] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[24] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[24] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[25] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[25] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[25] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[26] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[26] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[27] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[27] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[28] Odrv4.I @@ -2849,9 +3241,14 @@ SB_MAC16_ADS_U_32P32_BYPASS.O[2] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[2] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[2] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[30] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[30] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[30] Odrv4.I +SB_MAC16_ADS_U_32P32_BYPASS.O[31] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[31] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[31] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[3] LocalMux.I +SB_MAC16_ADS_U_32P32_BYPASS.O[3] Odrv12.I +SB_MAC16_ADS_U_32P32_BYPASS.O[3] Odrv4.I SB_MAC16_ADS_U_32P32_BYPASS.O[4] LocalMux.I SB_MAC16_ADS_U_32P32_BYPASS.O[4] Odrv12.I SB_MAC16_ADS_U_32P32_BYPASS.O[4] Odrv4.I @@ -2889,6 +3286,7 @@ SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[15] Odrv12.I @@ -2906,14 +3304,17 @@ SB_MAC16_MAC_S_16X16_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[20] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[20] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[21] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[23] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] LocalMux.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[25] Odrv12.I @@ -2924,12 +3325,15 @@ SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[27] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[29] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[29] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] LocalMux.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[3] LocalMux.I @@ -2955,8 +3359,10 @@ SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_S_16X16_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_S_16X16_IM_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[10] LocalMux.I @@ -2973,14 +3379,20 @@ SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[20] Odrv4.I @@ -2988,22 +3400,31 @@ SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[31] Odrv12.I @@ -3013,7 +3434,10 @@ SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_ALL_PIPELINE.O[6] Odrv4.I @@ -3031,83 +3455,145 @@ SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_BYPASS.ACCUMCI SB_MAC16_MAC_U_16X16_BYPASS.CO Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[0] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[0] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[10] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[10] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[12] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[13] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[15] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[17] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[18] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[19] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[20] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[21] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[22] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[24] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[25] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[26] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[27] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[28] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[28] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[28] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[29] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[2] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[3] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[3] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[4] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[5] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[5] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[6] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[7] LocalMux.I SB_MAC16_MAC_U_16X16_BYPASS.O[7] Odrv12.I +SB_MAC16_MAC_U_16X16_BYPASS.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_BYPASS.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[8] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[8] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_16X16_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_16X16_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_16X16_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ACCUMCI SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[10] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[12] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[20] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[25] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[28] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] Odrv12.I @@ -3115,8 +3601,10 @@ SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[31] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv12.I @@ -3124,68 +3612,97 @@ SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[5] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCO SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[0] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[19] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[23] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[26] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[3] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[5] Odrv4.I @@ -3196,12 +3713,14 @@ SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MAC_U_16X16_IM_BYPASS.ACCUMCI SB_MAC16_MAC_U_16X16_IM_BYPASS.CO LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.CO Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[0] Odrv12.I @@ -3213,6 +3732,7 @@ SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[11] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[12] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[13] Odrv12.I @@ -3224,26 +3744,35 @@ SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[15] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[16] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[18] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[18] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[1] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[20] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[27] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[27] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[28] Odrv4.I @@ -3268,9 +3797,11 @@ SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[7] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MAC_U_16X16_IM_BYPASS.O[8] Odrv4.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] LocalMux.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_16X16_IM_BYPASS.O[9] Odrv4.I @@ -3294,15 +3825,18 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[16] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[18] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[19] Odrv12.I @@ -3312,12 +3846,14 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[25] Odrv12.I @@ -3335,11 +3871,14 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[31] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv12.I @@ -3347,6 +3886,7 @@ SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MAC_U_8X8_ALL_PIPELINE.O[8] LocalMux.I @@ -3361,10 +3901,13 @@ SB_MAC16_MAC_U_8X8_BYPASS.CO LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.CO Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[0] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[0] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[10] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[10] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[10] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[11] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[11] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[11] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[12] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[12] Odrv12.I @@ -3375,37 +3918,55 @@ SB_MAC16_MAC_U_8X8_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[14] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[14] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[15] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[16] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[17] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[17] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[18] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[18] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[18] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[19] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[1] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[20] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[20] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[22] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[23] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[24] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[24] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[25] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[25] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[25] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[26] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[26] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[27] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[27] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[28] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[29] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[29] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[2] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[2] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[30] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[30] Odrv4.I +SB_MAC16_MAC_U_8X8_BYPASS.O[31] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[3] LocalMux.I @@ -3416,10 +3977,12 @@ SB_MAC16_MAC_U_8X8_BYPASS.O[4] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[4] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[5] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[5] Odrv12.I +SB_MAC16_MAC_U_8X8_BYPASS.O[5] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[6] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[6] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[7] LocalMux.I +SB_MAC16_MAC_U_8X8_BYPASS.O[7] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[7] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.O[8] LocalMux.I SB_MAC16_MAC_U_8X8_BYPASS.O[8] Odrv12.I @@ -3429,108 +3992,162 @@ SB_MAC16_MAC_U_8X8_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_8X8_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_8X8_BYPASS.SIGNEXTIN SB_MAC16_MAC_U_8X8_IM_BYPASS.ACCUMCO SB_MAC16_MAC_U_8X8_IM_BYPASS.ACCUMCI +SB_MAC16_MAC_U_8X8_IM_BYPASS.CO LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.CO Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.CO Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[0] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[0] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[0] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[10] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[11] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[11] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[11] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[12] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[12] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[12] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[13] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[13] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[13] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[15] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[16] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[16] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[16] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[17] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[17] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[18] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[18] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[19] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[19] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[20] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[21] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[21] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[22] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[22] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[22] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[23] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[23] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[24] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[25] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[25] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[26] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[27] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[27] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[28] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[28] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[29] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[29] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[29] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[2] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[2] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[30] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[31] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[31] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[31] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[3] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[4] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[4] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[4] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[5] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[6] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[6] Odrv12.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[6] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[7] Odrv4.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[8] LocalMux.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[8] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[8] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MAC_U_8X8_IM_BYPASS.O[9] Odrv12.I SB_MAC16_MAC_U_8X8_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MAC_U_8X8_IM_BYPASS.SIGNEXTOUT SB_MAC16_MAC_U_8X8_IM_BYPASS.SIGNEXTIN SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CO LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[11] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[11] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[11] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[12] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[12] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[14] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[14] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[19] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[21] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[31] Odrv4.I @@ -3538,15 +4155,21 @@ SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[4] LocalMux.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[6] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[7] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MAS_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MAS_U_8X8_ALL_PIPELINE.SIGNEXTIN @@ -3556,6 +4179,7 @@ SB_MAC16_MUL_S_16X16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[11] Odrv12.I @@ -3565,22 +4189,32 @@ SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[18] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[19] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[1] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[21] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[22] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[24] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[25] Odrv4.I @@ -3590,8 +4224,10 @@ SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[29] Odrv4.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[30] Odrv12.I @@ -3603,21 +4239,30 @@ SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] Odrv12.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_S_16X16_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_BYPASS.ACCUMCI +SB_MAC16_MUL_S_16X16_BYPASS.CO LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.CO Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.CO Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[0] Odrv12.I +SB_MAC16_MUL_S_16X16_BYPASS.O[0] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[10] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[10] Odrv4.I @@ -3638,8 +4283,10 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[15] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[17] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[18] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[18] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[19] Odrv12.I @@ -3647,34 +4294,45 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[1] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[20] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[21] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[21] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[22] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[23] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[23] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[24] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[26] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[26] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[27] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[28] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[28] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[29] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[30] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_16X16_BYPASS.O[31] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[3] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[3] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[4] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[4] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[5] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[5] Odrv12.I @@ -3683,41 +4341,55 @@ SB_MAC16_MUL_S_16X16_BYPASS.O[6] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[6] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[7] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[7] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_S_16X16_BYPASS.O[8] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.O[9] LocalMux.I +SB_MAC16_MUL_S_16X16_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_S_16X16_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_BYPASS.SIGNEXTIN SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MUL_S_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MUL_S_16X16_IM_BYPASS.CO LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.CO Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.CO Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[0] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[11] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[12] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[14] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[15] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[17] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[17] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[19] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[21] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[21] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv12.I @@ -3725,10 +4397,13 @@ SB_MAC16_MUL_S_16X16_IM_BYPASS.O[22] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[27] Odrv12.I @@ -3741,6 +4416,7 @@ SB_MAC16_MUL_S_16X16_IM_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[30] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[31] Odrv12.I @@ -3749,6 +4425,7 @@ SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[4] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[5] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[5] Odrv12.I @@ -3758,6 +4435,7 @@ SB_MAC16_MUL_S_16X16_IM_BYPASS.O[6] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_S_16X16_IM_BYPASS.O[7] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] Odrv12.I SB_MAC16_MUL_S_16X16_IM_BYPASS.O[8] Odrv4.I @@ -3767,10 +4445,13 @@ SB_MAC16_MUL_S_16X16_IM_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_16X16_IM_BYPASS.SIGNEXTIN SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ACCUMCI SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CO LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[0] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[10] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[11] Odrv12.I @@ -3779,27 +4460,33 @@ SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[13] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[15] Odrv12.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[16] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[17] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[19] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[22] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[22] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[24] Odrv4.I @@ -3807,17 +4494,23 @@ SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[25] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[26] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[27] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[28] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[29] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[2] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[30] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[31] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[31] Odrv4.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[3] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[4] LocalMux.I @@ -3829,41 +4522,66 @@ SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[7] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[8] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[8] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[9] LocalMux.I +SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_S_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_S_8X8_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_S_8X8_BYPASS.ACCUMCI SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.CO Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[0] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[0] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[10] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[11] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[11] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[11] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[12] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[14] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[15] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[15] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[16] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[18] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[19] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[1] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[1] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[20] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[20] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[21] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[21] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[22] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[23] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[23] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[23] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[24] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[24] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[25] Odrv4.I @@ -3872,25 +4590,44 @@ SB_MAC16_MUL_S_8X8_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[27] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[28] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[28] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[29] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[29] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[29] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[2] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[31] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[31] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[4] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[5] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[5] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[5] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[6] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[7] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_S_8X8_BYPASS.O[8] Odrv12.I +SB_MAC16_MUL_S_8X8_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_S_8X8_BYPASS.O[9] LocalMux.I +SB_MAC16_MUL_S_8X8_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_S_8X8_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MUL_S_8X8_BYPASS.SIGNEXTIN SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.CO Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[0] LocalMux.I @@ -3915,12 +4652,19 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[15] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[18] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[18] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[19] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[19] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[1] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[21] LocalMux.I @@ -3934,11 +4678,15 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[23] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[25] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[27] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] LocalMux.I @@ -3947,19 +4695,23 @@ SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[2] Odrv4.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[31] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[4] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] LocalMux.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] Odrv12.I +SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[6] Odrv4.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] LocalMux.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] Odrv12.I SB_MAC16_MUL_U_16X16_ALL_PIPELINE.O[7] Odrv4.I @@ -3981,6 +4733,7 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[10] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[10] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[11] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[11] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[12] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[12] Odrv12.I @@ -3989,62 +4742,163 @@ SB_MAC16_MUL_U_16X16_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[13] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[14] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[15] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[16] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[16] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[18] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[18] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[19] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[1] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[20] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[20] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[21] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[21] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[22] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[22] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[22] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[23] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[23] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[23] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[25] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[26] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[26] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[27] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[28] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[28] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[29] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[30] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[30] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[4] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[4] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[5] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[5] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[5] Odrv4.I +SB_MAC16_MUL_U_16X16_BYPASS.O[6] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_U_16X16_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[7] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[7] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[7] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_U_16X16_BYPASS.O[8] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[8] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.O[9] LocalMux.I SB_MAC16_MUL_U_16X16_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_U_16X16_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_16X16_BYPASS.SIGNEXTIN +SB_MAC16_MUL_U_16X16_IM_BYPASS.ACCUMCO SB_MAC16_MUL_U_16X16_IM_BYPASS.ACCUMCI +SB_MAC16_MUL_U_16X16_IM_BYPASS.CO LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.CO Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.CO Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[0] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[0] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[0] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[10] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[10] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[11] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[11] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[12] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[13] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[13] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[13] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[14] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[14] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[15] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[15] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[17] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[18] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[19] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[1] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[1] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[1] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[20] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[21] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[21] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[22] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[23] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[23] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[25] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[26] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[26] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[28] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[29] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[29] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[2] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[2] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[31] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[31] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[3] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[3] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[4] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[5] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[5] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[6] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[6] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[7] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[7] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[8] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[8] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[9] LocalMux.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[9] Odrv12.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.O[9] Odrv4.I +SB_MAC16_MUL_U_16X16_IM_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_16X16_IM_BYPASS.SIGNEXTIN SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCO SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ACCUMCI +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.CO Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[0] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] LocalMux.I @@ -4052,19 +4906,26 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[10] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] Odrv12.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[11] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[12] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[13] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[14] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[14] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[14] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[15] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[15] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[15] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[16] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[18] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv12.I @@ -4072,29 +4933,37 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[19] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[1] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[20] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[21] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[22] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[23] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[24] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[25] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[25] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[25] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[26] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[26] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[27] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[28] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[29] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[2] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] LocalMux.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[30] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[31] Odrv4.I @@ -4104,6 +4973,7 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[3] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[4] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[5] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[6] LocalMux.I @@ -4115,17 +4985,24 @@ SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[7] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[8] Odrv4.I +SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[9] LocalMux.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[9] Odrv12.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.O[9] Odrv4.I SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTOUT SB_MAC16_MUL_U_8X8_ALL_PIPELINE.SIGNEXTIN SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCO SB_MAC16_MUL_U_8X8_BYPASS.ACCUMCI +SB_MAC16_MUL_U_8X8_BYPASS.CO LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.CO Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.CO Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[0] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[0] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[0] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[10] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[10] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[11] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[11] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[11] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[12] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[12] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[12] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[13] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[13] Odrv12.I @@ -4133,42 +5010,72 @@ SB_MAC16_MUL_U_8X8_BYPASS.O[13] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[14] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[14] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[14] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[15] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[15] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[15] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[16] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[16] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[16] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[17] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[17] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[17] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[18] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[18] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[18] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[19] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[19] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[19] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[1] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[1] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[1] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[20] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[20] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[21] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[21] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[22] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[22] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[23] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[23] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[24] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[24] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[25] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[25] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[26] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[26] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[27] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[27] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[28] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[28] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[29] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[29] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[2] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[2] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[2] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[30] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[30] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[30] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[31] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[31] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[31] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[3] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[3] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[3] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[4] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[4] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[4] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[5] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[5] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[5] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[6] LocalMux.I +SB_MAC16_MUL_U_8X8_BYPASS.O[6] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[6] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.O[7] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[7] Odrv12.I +SB_MAC16_MUL_U_8X8_BYPASS.O[7] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[8] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[8] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[8] Odrv4.I +SB_MAC16_MUL_U_8X8_BYPASS.O[9] LocalMux.I SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv12.I SB_MAC16_MUL_U_8X8_BYPASS.O[9] Odrv4.I SB_MAC16_MUL_U_8X8_BYPASS.SIGNEXTOUT SB_MAC16_MUL_U_8X8_BYPASS.SIGNEXTIN @@ -4280,6 +5187,8 @@ SRMux.O SB_MAC16_MAC_U_16X16_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.IRSTBOT SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_U_16X16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ORSTBOT SRMux.O SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE.ORSTTOP @@ -4302,6 +5211,7 @@ SRMux.O SB_MAC16_MAC_U_8X8_BYPASS.ORSTTOP SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.IRSTBOT SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.IRSTTOP SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MAC_U_8X8_IM_BYPASS.ORSTTOP SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MAS_U_8X8_ALL_PIPELINE.ORSTBOT @@ -4325,6 +5235,7 @@ SRMux.O SB_MAC16_MUL_S_8X8_ALL_PIPELINE.ORSTTOP SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTBOT SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_S_8X8_BYPASS.ORSTTOP SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MUL_U_16X16_ALL_PIPELINE.ORSTBOT @@ -4333,6 +5244,10 @@ SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.IRSTBOT SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.IRSTTOP SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.ORSTBOT SRMux.O SB_MAC16_MUL_U_16X16_BYPASS.ORSTTOP +SRMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.IRSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.IRSTTOP +SRMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.ORSTBOT +SRMux.O SB_MAC16_MUL_U_16X16_IM_BYPASS.ORSTTOP SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.IRSTBOT SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.IRSTTOP SRMux.O SB_MAC16_MUL_U_8X8_ALL_PIPELINE.ORSTBOT @@ -4386,8 +5301,10 @@ Span12Mux_h.O Span12Mux_v.I Span12Mux_s0_h.O LocalMux.I Span12Mux_s0_h.O Sp12to4.I Span12Mux_s0_h.O Span12Mux_h.I +Span12Mux_s0_h.O Span12Mux_s10_v.I Span12Mux_s0_h.O Span12Mux_s11_h.I Span12Mux_s0_h.O Span12Mux_s1_v.I +Span12Mux_s0_h.O Span12Mux_s2_v.I Span12Mux_s0_h.O Span12Mux_v.I Span12Mux_s0_v.O LocalMux.I Span12Mux_s0_v.O Sp12to4.I @@ -4402,6 +5319,7 @@ Span12Mux_s10_h.O Span12Mux_s2_v.I Span12Mux_s10_h.O Span12Mux_s4_v.I Span12Mux_s10_h.O Span12Mux_s5_v.I Span12Mux_s10_h.O Span12Mux_s6_v.I +Span12Mux_s10_h.O Span12Mux_s7_v.I Span12Mux_s10_h.O Span12Mux_s8_v.I Span12Mux_s10_h.O Span12Mux_s9_v.I Span12Mux_s10_h.O Span12Mux_v.I @@ -4409,6 +5327,7 @@ Span12Mux_s10_v.O LocalMux.I Span12Mux_s10_v.O Sp12to4.I Span12Mux_s10_v.O Span12Mux_h.I Span12Mux_s10_v.O Span12Mux_s10_h.I +Span12Mux_s10_v.O Span12Mux_s4_h.I Span12Mux_s10_v.O Span12Mux_s5_v.I Span12Mux_s10_v.O Span12Mux_s7_h.I Span12Mux_s10_v.O Span12Mux_s8_h.I @@ -4426,7 +5345,11 @@ Span12Mux_s11_h.O Span12Mux_v.I Span12Mux_s11_v.O LocalMux.I Span12Mux_s11_v.O Sp12to4.I Span12Mux_s11_v.O Span12Mux_h.I +Span12Mux_s11_v.O Span12Mux_s10_h.I +Span12Mux_s11_v.O Span12Mux_s11_h.I Span12Mux_s11_v.O Span12Mux_s4_v.I +Span12Mux_s11_v.O Span12Mux_s5_h.I +Span12Mux_s11_v.O Span12Mux_s7_h.I Span12Mux_s11_v.O Span12Mux_s8_h.I Span12Mux_s11_v.O Span12Mux_s9_h.I Span12Mux_s11_v.O Span12Mux_v.I @@ -4440,6 +5363,8 @@ Span12Mux_s1_h.O Span12Mux_s9_v.I Span12Mux_s1_h.O Span12Mux_v.I Span12Mux_s1_v.O LocalMux.I Span12Mux_s1_v.O Sp12to4.I +Span12Mux_s1_v.O Span12Mux_h.I +Span12Mux_s1_v.O Span12Mux_s4_h.I Span12Mux_s1_v.O Span12Mux_v.I Span12Mux_s2_h.O LocalMux.I Span12Mux_s2_h.O Sp12to4.I @@ -4463,6 +5388,7 @@ Span12Mux_s2_v.O Span12Mux_s10_h.I Span12Mux_s2_v.O Span12Mux_s11_h.I Span12Mux_s2_v.O Span12Mux_s2_h.I Span12Mux_s2_v.O Span12Mux_s5_h.I +Span12Mux_s2_v.O Span12Mux_s6_h.I Span12Mux_s2_v.O Span12Mux_s7_h.I Span12Mux_s2_v.O Span12Mux_s8_h.I Span12Mux_s2_v.O Span12Mux_s9_h.I @@ -4484,6 +5410,7 @@ Span12Mux_s3_h.O Span12Mux_v.I Span12Mux_s3_v.O LocalMux.I Span12Mux_s3_v.O Sp12to4.I Span12Mux_s3_v.O Span12Mux_h.I +Span12Mux_s3_v.O Span12Mux_s10_h.I Span12Mux_s3_v.O Span12Mux_s11_h.I Span12Mux_s3_v.O Span12Mux_s7_h.I Span12Mux_s3_v.O Span12Mux_s8_h.I @@ -4507,7 +5434,10 @@ Span12Mux_s4_v.O Span12Mux_s10_h.I Span12Mux_s4_v.O Span12Mux_s11_h.I Span12Mux_s4_v.O Span12Mux_s11_v.I Span12Mux_s4_v.O Span12Mux_s2_h.I +Span12Mux_s4_v.O Span12Mux_s5_h.I +Span12Mux_s4_v.O Span12Mux_s6_h.I Span12Mux_s4_v.O Span12Mux_s8_h.I +Span12Mux_s4_v.O Span12Mux_s9_h.I Span12Mux_s4_v.O Span12Mux_v.I Span12Mux_s5_h.O LocalMux.I Span12Mux_s5_h.O Sp12to4.I @@ -4534,6 +5464,7 @@ Span12Mux_s6_h.O Span12Mux_s0_v.I Span12Mux_s6_h.O Span12Mux_s10_v.I Span12Mux_s6_h.O Span12Mux_s11_v.I Span12Mux_s6_h.O Span12Mux_s3_v.I +Span12Mux_s6_h.O Span12Mux_s4_v.I Span12Mux_s6_h.O Span12Mux_s5_h.I Span12Mux_s6_h.O Span12Mux_s5_v.I Span12Mux_s6_h.O Span12Mux_s6_v.I @@ -4545,6 +5476,7 @@ Span12Mux_s6_v.O LocalMux.I Span12Mux_s6_v.O Sp12to4.I Span12Mux_s6_v.O Span12Mux_h.I Span12Mux_s6_v.O Span12Mux_s10_h.I +Span12Mux_s6_v.O Span12Mux_s1_h.I Span12Mux_s6_v.O Span12Mux_s5_h.I Span12Mux_s6_v.O Span12Mux_s6_h.I Span12Mux_s6_v.O Span12Mux_s7_h.I @@ -4598,9 +5530,11 @@ Span12Mux_s8_v.O Span12Mux_h.I Span12Mux_s8_v.O Span12Mux_s10_h.I Span12Mux_s8_v.O Span12Mux_s11_h.I Span12Mux_s8_v.O Span12Mux_s2_h.I +Span12Mux_s8_v.O Span12Mux_s4_h.I Span12Mux_s8_v.O Span12Mux_s7_h.I Span12Mux_s8_v.O Span12Mux_s7_v.I Span12Mux_s8_v.O Span12Mux_s8_h.I +Span12Mux_s8_v.O Span12Mux_s9_h.I Span12Mux_s8_v.O Span12Mux_v.I Span12Mux_s9_h.O LocalMux.I Span12Mux_s9_h.O Sp12to4.I @@ -4621,9 +5555,11 @@ Span12Mux_s9_v.O Sp12to4.I Span12Mux_s9_v.O Span12Mux_h.I Span12Mux_s9_v.O Span12Mux_s10_h.I Span12Mux_s9_v.O Span12Mux_s11_h.I +Span12Mux_s9_v.O Span12Mux_s4_h.I Span12Mux_s9_v.O Span12Mux_s5_h.I Span12Mux_s9_v.O Span12Mux_s6_v.I Span12Mux_s9_v.O Span12Mux_s7_h.I +Span12Mux_s9_v.O Span12Mux_s8_h.I Span12Mux_s9_v.O Span12Mux_s9_h.I Span12Mux_s9_v.O Span12Mux_v.I Span12Mux_v.O LocalMux.I diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 66357fa..8365826 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -496,10 +496,13 @@ void read_chipdb() if(mode == ".extra_cell") { std::string key = std::string(tok); - int x = atoi(strtok(nullptr, " \t\r\n")); - int y = atoi(strtok(nullptr, " \t\r\n")); - std::string name = std::string(strtok(nullptr, " \t\r\n")); - extra_cells[make_tuple(cellname, tile_x, tile_y, cell_z)][key] = make_tuple(x, y, name); + if(key != "LOCKED") { + int x = atoi(strtok(nullptr, " \t\r\n")); + int y = atoi(strtok(nullptr, " \t\r\n")); + std::string name = std::string(strtok(nullptr, " \t\r\n")); + extra_cells[make_tuple(cellname, tile_x, tile_y, cell_z)][key] = make_tuple(x, y, name); + } + } } -- cgit v1.2.3 From a5849e3ba8ec20d586b36854bafb70f4f4fffaa3 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 30 Jan 2018 10:15:59 +0000 Subject: Misc UltraPlus fixes --- icetime/icetime.cc | 64 +++++++++++++++++++++++++++++------------------------- 1 file changed, 35 insertions(+), 29 deletions(-) diff --git a/icetime/icetime.cc b/icetime/icetime.cc index 8365826..2614539 100644 --- a/icetime/icetime.cc +++ b/icetime/icetime.cc @@ -109,7 +109,7 @@ std::map>> logic_tile_bits, std::map, std::map>> extra_cells; - + std::string vstringf(const char *fmt, va_list ap) { std::string string; @@ -602,6 +602,9 @@ bool is_primary(std::string cell_name, std::string out_port) if (cell_type == "PRE_IO") return true; + if (cell_type == "SB_SPRAM256KA") + return true; + std::string dsp_prefix = "SB_MAC16"; if(cell_type.substr(0, dsp_prefix.length()) == dsp_prefix) return (cell_type != "SB_MAC16_MUL_U_16X16_BYPASS" && cell_type != "SB_MAC16_MUL_U_8X8_BYPASS" @@ -694,7 +697,7 @@ const std::set &get_inports(std::string cell_type) inports_map["SB_RAM40_4K"].insert(stringf("RADDR[%d]", i)); inports_map["SB_RAM40_4K"].insert(stringf("WADDR[%d]", i)); } - + inports_map["SB_MAC16"] = { "CLK", "CE", "AHOLD", "BHOLD", "CHOLD", "DHOLD", "IRSTTOP", "IRSTBOT", "ORSTTOP", "ORSTBOT", "OLOADTOP", "OLOADBOT", "ADDSUBTOP", "ADDSUBBOT", "OHOLDTOP", "OHOLDBOT", "CI", "ACCUMCI", "SIGNEXTIN"}; @@ -704,10 +707,10 @@ const std::set &get_inports(std::string cell_type) inports_map["SB_MAC16"].insert(stringf("B[%d]", i)); inports_map["SB_MAC16"].insert(stringf("D[%d]", i)); } - + inports_map["SB_SPRAM256KA"] = { "WREN", "CHIPSELECT", "CLOCK", "STANDBY", "SLEEP", "POWEROFF", "MASKWREN[0]", "MASKWREN[1]", "MASKWREN[2]", "MASKWREN[3]"}; - + for (int i = 0; i < 16; i++) { inports_map["SB_SPRAM256KA"].insert(stringf("DATAIN[%d]", i)); } @@ -715,22 +718,22 @@ const std::set &get_inports(std::string cell_type) for (int i = 0; i < 14; i++) { inports_map["SB_SPRAM256KA"].insert(stringf("ADDRESS[%d]", i)); } - + inports_map["INTERCONN"] = { "I" }; } - - + + std::string dsp_prefix = "SB_MAC16"; - + if(cell_type.substr(0, dsp_prefix.length()) == dsp_prefix) cell_type = "SB_MAC16"; - + if (inports_map.count(cell_type) == 0) { fprintf(stderr, "Missing entry in inports_map for cell type %s!\n", cell_type.c_str()); exit(1); } - + return inports_map.at(cell_type); } @@ -755,7 +758,7 @@ double get_delay(std::string cell_type, std::string in_port, std::string out_por if (device_type == "hx8k") return get_delay_hx8k(cell_type, in_port, out_port); - + if (device_type == "up5k") return get_delay_up5k(cell_type, in_port, out_port); fprintf(stderr, "No built-in timing database for '%s' devices!\n", device_type.c_str()); @@ -1275,11 +1278,11 @@ std::string ecnetname_to_vlog(std::string ec_name) size_t last_ = ec_name.find_last_of('_'); if(last_ == std::string::npos) return ec_name; - + std::string base = ec_name.substr(0, last_); std::string end = ec_name.substr(last_+1); size_t nidx = 0; - + int num = std::stoi(end, &nidx, 10); if(nidx == end.length()) { return base + "[" + std::to_string(num) + "]"; @@ -1289,7 +1292,7 @@ std::string ecnetname_to_vlog(std::string ec_name) } std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) -{ +{ std::tuple ecnet(x, y, net); std::tuple key("", -1, -1, -1); bool found = false; @@ -1310,12 +1313,12 @@ std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) int cx, cy, cz; std::string ectype; std::tie(ectype, cx, cy, cz) = key; - + auto cell = stringf("%s_%d_%d_%d", ectype.c_str(), cx, cy, cz); if (netlist_cell_types.count(cell)) return cell; - + if(ectype == "MAC16") { // Given the few actual unique timing possibilites, only look at a subset // of the CBITs to pick the closest cell type from a timing point of view @@ -1356,14 +1359,14 @@ std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) } dsptype = basename + (pipeline ? "_ALL_PIPELINE" : "_BYPASS"); netlist_cell_types[cell] = dsptype; - + for (int i = 0; i < 16; i++) { netlist_cell_ports[cell][stringf("C[%d]", i)] = "gnd"; netlist_cell_ports[cell][stringf("A[%d]", i)] = "gnd"; netlist_cell_ports[cell][stringf("B[%d]", i)] = "gnd"; netlist_cell_ports[cell][stringf("D[%d]", i)] = "gnd"; } - + netlist_cell_ports[cell]["CLK"] = ""; netlist_cell_ports[cell]["CE"] = ""; netlist_cell_ports[cell]["AHOLD"] = "gnd"; @@ -1385,27 +1388,27 @@ std::string make_dsp_ip(int x, int y, std::string net, std::string &primnet) netlist_cell_ports[cell]["CI"] = "gnd"; netlist_cell_ports[cell]["ACCUMCI"] = ""; netlist_cell_ports[cell]["SIGNEXTIN"] = ""; - + for (int i = 0; i < 32; i++) { netlist_cell_ports[cell][stringf("O[%d]", i)] = ""; } - + netlist_cell_ports[cell]["ACCUMCO"] = ""; netlist_cell_ports[cell]["SIGNEXTOUT"] = ""; - + return cell; - } else if(ectype == "SPRAM256KA") { + } else if(ectype == "SPRAM") { netlist_cell_types[cell] = "SB_SPRAM256KA"; - + for (int i = 0; i < 14; i++) { netlist_cell_ports[cell][stringf("ADDRESS[%d]", i)] = "gnd"; } - + for (int i = 0; i < 16; i++) { netlist_cell_ports[cell][stringf("DATAIN[%d]", i)] = "gnd"; netlist_cell_ports[cell][stringf("DATAOUT[%d]", i)] = ""; } - + netlist_cell_ports[cell]["MASKWREN[3]"] = "gnd"; netlist_cell_ports[cell]["MASKWREN[2]"] = "gnd"; netlist_cell_ports[cell]["MASKWREN[1]"] = "gnd"; @@ -1582,7 +1585,7 @@ void make_seg_cell(int net, const net_segment_t &seg) return; } - + if (sscanf(seg.name.c_str(), "lutff_%d/ou%c", &a, &c) == 2 && c == 't') { for (int dst_net : net_buffers.at(seg.net)) @@ -1611,7 +1614,7 @@ void make_seg_cell(int net, const net_segment_t &seg) } return; } - + if (sscanf(seg.name.c_str(), "mult/O_%d", &a) == 1) { std::string primnet; @@ -1622,7 +1625,7 @@ void make_seg_cell(int net, const net_segment_t &seg) } return; } - + if (sscanf(seg.name.c_str(), "lutff_%d/cou%c", &a, &c) == 2 && c == 't') { auto cell = make_lc40(seg.x, seg.y, a); @@ -2303,7 +2306,10 @@ int main(int argc, char **argv) read_config(); if (device_type.empty()) { - device_type = "lp" + config_device; + if(config_device == "5k") + device_type = "up" + config_device; + else + device_type = "lp" + config_device; printf("// Warning: Missing -d parameter. Assuming '%s' device.\n", device_type.c_str()); } -- cgit v1.2.3 From 6efb7f7cc2a9ddb07f9436739387f182014e1855 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 30 Jan 2018 10:20:17 +0000 Subject: Tidy up --- icefuzz/tests/sb_io_i3c.exp | 768 ------------------------------------------- icefuzz/tests/sb_io_i3c.rpt | 108 ------ icefuzz/tests/sb_io_i3c.vlog | 278 ---------------- 3 files changed, 1154 deletions(-) delete mode 100644 icefuzz/tests/sb_io_i3c.exp delete mode 100644 icefuzz/tests/sb_io_i3c.rpt delete mode 100644 icefuzz/tests/sb_io_i3c.vlog diff --git a/icefuzz/tests/sb_io_i3c.exp b/icefuzz/tests/sb_io_i3c.exp deleted file mode 100644 index 986d1a5..0000000 --- a/icefuzz/tests/sb_io_i3c.exp +++ /dev/null @@ -1,768 +0,0 @@ -Reading file 'sb_io_i3c.asc'.. -Fabric size (without IO tiles): 24 x 30 - -.io_tile 1 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 2 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 3 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 4 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 5 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 6 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 7 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 8 0 -IOB_0 PINTYPE_0 -IoCtrl IE_1 -IoCtrl REN_1 -IoCtrl cf_bit_35 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 -buffer io_0/D_IN_0 span12_vert_0 - -.io_tile 9 0 -IOB_0 PINTYPE_0 -IOB_1 PINTYPE_0 -IoCtrl IE_0 -IoCtrl IE_1 -IoCtrl REN_0 -IoCtrl REN_1 -IoCtrl cf_bit_35 -IoCtrl cf_bit_39 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 -buffer io_0/D_IN_0 span12_vert_8 -buffer io_1/D_IN_0 span12_vert_4 - -.io_tile 10 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 11 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 12 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 13 0 -IOB_1 PINTYPE_0 -IoCtrl IE_0 -IoCtrl REN_0 -IoCtrl cf_bit_39 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 -buffer io_1/D_IN_0 span12_vert_4 - -.io_tile 14 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 15 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 16 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 17 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 18 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 19 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 20 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 21 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 22 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 23 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 24 0 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 1 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 2 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 3 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 4 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 5 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 6 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 7 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 8 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 9 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 10 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 11 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 12 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 13 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 14 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 15 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 16 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 17 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 18 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 19 31 -IOB_0 PINTYPE_0 -IOB_1 PINTYPE_0 -IoCtrl cf_bit_32 -IoCtrl cf_bit_36 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 20 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 21 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 22 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 23 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.io_tile 24 31 -IoCtrl padeb_test_0 -IoCtrl padeb_test_1 - -.logic_tile 8 1 -routing sp12_v_b_0 sp12_v_t_23 - -.logic_tile 9 3 -routing sp12_v_b_0 sp12_h_r_0 - -.logic_tile 13 3 -routing sp12_v_b_0 sp12_h_r_0 - -.logic_tile 21 3 -routing sp12_h_l_23 sp12_v_t_23 - -.logic_tile 9 5 -routing sp12_v_b_0 sp12_h_r_0 - -.logic_tile 21 5 -routing sp12_h_l_23 sp12_v_t_23 - -.logic_tile 8 13 -routing sp12_v_b_0 sp12_v_t_23 - -.logic_tile 21 15 -routing sp12_v_b_0 sp12_v_t_23 - -.logic_tile 21 17 -routing sp12_v_b_0 sp12_v_t_23 - -.logic_tile 21 22 -buffer sp12_v_b_15 sp4_v_b_19 - -.logic_tile 21 23 -routing sp4_v_b_6 sp4_h_r_0 - -.logic_tile 8 25 -routing sp12_v_b_0 sp12_h_r_0 - -.logic_tile 18 25 -buffer sp12_h_r_20 sp4_h_r_22 - -.logic_tile 21 25 -routing sp4_h_l_46 sp4_h_r_7 - -.logic_tile 21 27 -routing sp12_v_b_0 sp12_h_r_0 - -.dsp0_tile 25 15 -routing sp12_v_b_0 sp12_v_t_23 - -.dsp0_tile 25 23 -routing sp4_h_l_37 sp4_v_t_37 - -.dsp2_tile 25 25 -routing sp4_h_l_42 sp4_v_t_37 - -.ipcon_tile 0 1 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 1 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 2 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 2 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 3 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 3 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 -routing sp12_h_l_23 sp12_v_t_23 - -.ipcon_tile 0 4 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 4 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 9 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 9 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 14 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 19 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 19 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 20 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 20 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 21 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 21 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 22 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 22 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 27 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 27 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_0 local_g3_0 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_24 local_g2_0 - -.ipcon_tile 0 28 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 28 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 29 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 29 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 0 30 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - -.ipcon_tile 25 30 -Cascade IPCON_LC00_inmux02_5 -Cascade IPCON_LC01_inmux02_5 -Cascade IPCON_LC02_inmux02_5 -Cascade IPCON_LC03_inmux02_5 -Cascade IPCON_LC04_inmux02_5 -Cascade IPCON_LC05_inmux02_5 -Cascade IPCON_LC06_inmux02_5 -Cascade IPCON_LC07_inmux02_5 -LC_0 0000111100001111 0000 -LC_1 0000111100001111 0000 -LC_2 0000111100001111 0000 -LC_3 0000111100001111 0000 -LC_4 0000111100001111 0000 -LC_5 0000111100001111 0000 -LC_6 0000111100001111 0000 -LC_7 0000111100001111 0000 - diff --git a/icefuzz/tests/sb_io_i3c.rpt b/icefuzz/tests/sb_io_i3c.rpt deleted file mode 100644 index 0b76167..0000000 --- a/icefuzz/tests/sb_io_i3c.rpt +++ /dev/null @@ -1,108 +0,0 @@ -# ****************************************************************************** - -# iCEcube Static Timer - -# Version: 2017.08.27940 - -# Build Date: Sep 12 2017 08:03:55 - -# File Generated: Jan 13 2018 18:40:35 - -# Purpose: Timing Report with critical paths info - -# Copyright (C) 2006-2010 by Lattice Semiconductor Corp. All rights reserved. - -# ****************************************************************************** - -Device: iCE40UP5KUP5K -Derating factors (Best:Typical:Worst) :- ( 1 : 1 : 1 ) -Derating factor used to generate this timing report: Worst -Based on the following operating conditions -Junction Temperature(degree Celsius): 0 -Core Voltage(V): -1 -Process Corner: Worst -NOTE: -Please check both worst-case and best-case scenarios for "Setup Times" -and "Hold Times" checks -Maximum Operating Frequency is: N/A - - - ##################################################################### - 3::Datasheet Report - -All values are in Picoseconds - ===================================================================== - - 3.1::Setup Times - ---------------- - -Data Port Clock Port Setup Times Clock Reference:Phase ---------- ---------- ----------- --------------------- - - - 3.2::Clock to Out - ----------------- - -Data Port Clock Port Clock to Out Clock Reference:Phase ---------- ---------- ------------ --------------------- - - - 3.3::Pad to Pad - --------------- - -Port Name (Input) Port Name (Output) Pad to Pad ------------------ ------------------ ---------- - - - 3.4::Hold Times - --------------- - -Data Port Clock Port Hold Times Clock Reference:Phase ---------- ---------- ---------- --------------------- - - - 3.5::Minimum Clock to Out - ------------------------- - -Data Port Clock Port Minimum Clock to Out Clock Reference:Phase ---------- ---------- -------------------- --------------------- - - - 3.6::Minimum Pad To Pad - ----------------------- - -Port Name (Input) Port Name (Output) Minimum Pad To Pad ------------------ ------------------ ------------------ - -===================================================================== - End of Datasheet Report -##################################################################### - -##################################################################### - 6::Path Details for DataSheet -===================================================================== - - - -===================================================================== - End of Path Details for Datasheet -##################################################################### - -##################################################################### - Detailed Setup Report for all timing paths -===================================================================== -===================================================================== - End of Detailed Setup Report for all timing paths -##################################################################### - -##################################################################### - Detailed Hold Report for all timing paths -===================================================================== -===================================================================== - End of Detailed Hold Report for all timing paths -##################################################################### - -##################################################################### - End of Timing Report -##################################################################### - diff --git a/icefuzz/tests/sb_io_i3c.vlog b/icefuzz/tests/sb_io_i3c.vlog deleted file mode 100644 index 6b45b46..0000000 --- a/icefuzz/tests/sb_io_i3c.vlog +++ /dev/null @@ -1,278 +0,0 @@ -// Reading file 'sb_io_i3c.asc'.. - -module chip (input pin_23_puen, input pin_25_puen, input pin_23_wkpuen, input pin_25_wkpuen, input pin_23, input pin_25); - -wire pin_23_puen; -// (7, 1, 'neigh_op_bnr_0') -// (7, 1, 'neigh_op_bnr_4') -// (8, 0, 'io_0/D_IN_0') -// (8, 0, 'io_0/PAD') -// (8, 0, 'span12_vert_0') -// (8, 1, 'neigh_op_bot_0') -// (8, 1, 'neigh_op_bot_4') -// (8, 1, 'sp12_v_b_0') -// (8, 1, 'sp12_v_t_23') -// (8, 2, 'sp12_v_b_23') -// (8, 3, 'sp12_v_b_20') -// (8, 4, 'sp12_v_b_19') -// (8, 5, 'sp12_v_b_16') -// (8, 6, 'sp12_v_b_15') -// (8, 7, 'sp12_v_b_12') -// (8, 8, 'sp12_v_b_11') -// (8, 9, 'sp12_v_b_8') -// (8, 10, 'sp12_v_b_7') -// (8, 11, 'sp12_v_b_4') -// (8, 12, 'sp12_v_b_3') -// (8, 13, 'sp12_v_b_0') -// (8, 13, 'sp12_v_t_23') -// (8, 14, 'sp12_v_b_23') -// (8, 15, 'sp12_v_b_20') -// (8, 16, 'sp12_v_b_19') -// (8, 17, 'sp12_v_b_16') -// (8, 18, 'sp12_v_b_15') -// (8, 19, 'sp12_v_b_12') -// (8, 20, 'sp12_v_b_11') -// (8, 21, 'sp12_v_b_8') -// (8, 22, 'sp12_v_b_7') -// (8, 23, 'sp12_v_b_4') -// (8, 24, 'sp12_v_b_3') -// (8, 25, 'sp12_h_r_0') -// (8, 25, 'sp12_v_b_0') -// (9, 1, 'neigh_op_bnl_0') -// (9, 1, 'neigh_op_bnl_4') -// (9, 25, 'sp12_h_r_3') -// (10, 25, 'sp12_h_r_4') -// (11, 25, 'sp12_h_r_7') -// (12, 25, 'sp12_h_r_8') -// (13, 25, 'sp12_h_r_11') -// (14, 25, 'sp12_h_r_12') -// (15, 25, 'sp12_h_r_15') -// (16, 25, 'sp12_h_r_16') -// (17, 25, 'sp12_h_r_19') -// (17, 25, 'sp4_h_r_11') -// (18, 25, 'sp12_h_r_20') -// (18, 25, 'sp4_h_r_22') -// (19, 25, 'sp12_h_r_23') -// (19, 25, 'sp4_h_r_35') -// (20, 25, 'sp12_h_l_23') -// (20, 25, 'sp4_h_r_46') -// (21, 25, 'sp4_h_l_46') -// (21, 25, 'sp4_h_r_7') -// (22, 25, 'sp4_h_r_18') -// (23, 25, 'sp4_h_r_31') -// (24, 25, 'sp4_h_r_42') -// (24, 26, 'sp4_r_v_b_37') -// (24, 27, 'sp4_r_v_b_24') -// (24, 28, 'sp4_r_v_b_13') -// (24, 29, 'sp4_r_v_b_0') -// (25, 25, 'sp4_h_l_42') -// (25, 25, 'sp4_v_t_37') -// (25, 26, 'sp4_v_b_37') -// (25, 27, 'local_g2_0') -// (25, 27, 'lutff_6/in_0') -// (25, 27, 'sp4_v_b_24') -// (25, 28, 'sp4_v_b_13') -// (25, 29, 'sp4_v_b_0') - -wire pin_25_puen; -// (8, 1, 'neigh_op_bnr_0') -// (8, 1, 'neigh_op_bnr_4') -// (9, 0, 'io_0/D_IN_0') -// (9, 0, 'io_0/PAD') -// (9, 0, 'span12_vert_8') -// (9, 1, 'neigh_op_bot_0') -// (9, 1, 'neigh_op_bot_4') -// (9, 1, 'sp12_v_b_8') -// (9, 2, 'sp12_v_b_7') -// (9, 3, 'sp12_v_b_4') -// (9, 4, 'sp12_v_b_3') -// (9, 5, 'sp12_h_r_0') -// (9, 5, 'sp12_v_b_0') -// (10, 1, 'neigh_op_bnl_0') -// (10, 1, 'neigh_op_bnl_4') -// (10, 5, 'sp12_h_r_3') -// (11, 5, 'sp12_h_r_4') -// (12, 5, 'sp12_h_r_7') -// (13, 5, 'sp12_h_r_8') -// (14, 5, 'sp12_h_r_11') -// (15, 5, 'sp12_h_r_12') -// (16, 5, 'sp12_h_r_15') -// (17, 5, 'sp12_h_r_16') -// (18, 5, 'sp12_h_r_19') -// (19, 5, 'sp12_h_r_20') -// (20, 5, 'sp12_h_r_23') -// (20, 20, 'sp4_r_v_b_43') -// (20, 21, 'sp4_r_v_b_30') -// (20, 22, 'sp4_r_v_b_19') -// (20, 23, 'sp4_r_v_b_6') -// (21, 5, 'sp12_h_l_23') -// (21, 5, 'sp12_v_t_23') -// (21, 6, 'sp12_v_b_23') -// (21, 7, 'sp12_v_b_20') -// (21, 8, 'sp12_v_b_19') -// (21, 9, 'sp12_v_b_16') -// (21, 10, 'sp12_v_b_15') -// (21, 11, 'sp12_v_b_12') -// (21, 12, 'sp12_v_b_11') -// (21, 13, 'sp12_v_b_8') -// (21, 14, 'sp12_v_b_7') -// (21, 15, 'sp12_v_b_4') -// (21, 16, 'sp12_v_b_3') -// (21, 17, 'sp12_v_b_0') -// (21, 17, 'sp12_v_t_23') -// (21, 18, 'sp12_v_b_23') -// (21, 19, 'sp12_v_b_20') -// (21, 19, 'sp4_v_t_43') -// (21, 20, 'sp12_v_b_19') -// (21, 20, 'sp4_v_b_43') -// (21, 21, 'sp12_v_b_16') -// (21, 21, 'sp4_v_b_30') -// (21, 22, 'sp12_v_b_15') -// (21, 22, 'sp4_v_b_19') -// (21, 23, 'sp12_v_b_12') -// (21, 23, 'sp4_h_r_0') -// (21, 23, 'sp4_v_b_6') -// (21, 24, 'sp12_v_b_11') -// (21, 25, 'sp12_v_b_8') -// (21, 26, 'sp12_v_b_7') -// (21, 27, 'sp12_v_b_4') -// (21, 28, 'sp12_v_b_3') -// (21, 29, 'sp12_v_b_0') -// (22, 23, 'sp4_h_r_13') -// (23, 23, 'sp4_h_r_24') -// (24, 23, 'sp4_h_r_37') -// (24, 24, 'sp4_r_v_b_37') -// (24, 25, 'sp4_r_v_b_24') -// (24, 26, 'sp4_r_v_b_13') -// (24, 27, 'sp4_r_v_b_0') -// (25, 23, 'sp4_h_l_37') -// (25, 23, 'sp4_v_t_37') -// (25, 24, 'sp4_v_b_37') -// (25, 25, 'sp4_v_b_24') -// (25, 26, 'sp4_v_b_13') -// (25, 27, 'local_g1_0') -// (25, 27, 'lutff_7/in_0') -// (25, 27, 'sp4_v_b_0') - -wire pin_23_wkpuen; -// (8, 1, 'neigh_op_bnr_2') -// (8, 1, 'neigh_op_bnr_6') -// (9, 0, 'io_1/D_IN_0') -// (9, 0, 'io_1/PAD') -// (9, 0, 'span12_vert_4') -// (9, 1, 'neigh_op_bot_2') -// (9, 1, 'neigh_op_bot_6') -// (9, 1, 'sp12_v_b_4') -// (9, 2, 'sp12_v_b_3') -// (9, 3, 'sp12_h_r_0') -// (9, 3, 'sp12_v_b_0') -// (10, 1, 'neigh_op_bnl_2') -// (10, 1, 'neigh_op_bnl_6') -// (10, 3, 'sp12_h_r_3') -// (11, 3, 'sp12_h_r_4') -// (12, 3, 'sp12_h_r_7') -// (13, 3, 'sp12_h_r_8') -// (14, 3, 'sp12_h_r_11') -// (15, 3, 'sp12_h_r_12') -// (16, 3, 'sp12_h_r_15') -// (17, 3, 'sp12_h_r_16') -// (18, 3, 'sp12_h_r_19') -// (19, 3, 'sp12_h_r_20') -// (20, 3, 'sp12_h_r_23') -// (21, 3, 'sp12_h_l_23') -// (21, 3, 'sp12_v_t_23') -// (21, 4, 'sp12_v_b_23') -// (21, 5, 'sp12_v_b_20') -// (21, 6, 'sp12_v_b_19') -// (21, 7, 'sp12_v_b_16') -// (21, 8, 'sp12_v_b_15') -// (21, 9, 'sp12_v_b_12') -// (21, 10, 'sp12_v_b_11') -// (21, 11, 'sp12_v_b_8') -// (21, 12, 'sp12_v_b_7') -// (21, 13, 'sp12_v_b_4') -// (21, 14, 'sp12_v_b_3') -// (21, 15, 'sp12_v_b_0') -// (21, 15, 'sp12_v_t_23') -// (21, 16, 'sp12_v_b_23') -// (21, 17, 'sp12_v_b_20') -// (21, 18, 'sp12_v_b_19') -// (21, 19, 'sp12_v_b_16') -// (21, 20, 'sp12_v_b_15') -// (21, 21, 'sp12_v_b_12') -// (21, 22, 'sp12_v_b_11') -// (21, 23, 'sp12_v_b_8') -// (21, 24, 'sp12_v_b_7') -// (21, 25, 'sp12_v_b_4') -// (21, 26, 'sp12_v_b_3') -// (21, 27, 'sp12_h_r_0') -// (21, 27, 'sp12_v_b_0') -// (22, 27, 'sp12_h_r_3') -// (23, 27, 'sp12_h_r_4') -// (24, 27, 'sp12_h_r_7') -// (25, 27, 'local_g0_0') -// (25, 27, 'lutff_4/in_0') -// (25, 27, 'sp12_h_r_8') - -wire pin_25_wkpuen; -// (12, 1, 'neigh_op_bnr_2') -// (12, 1, 'neigh_op_bnr_6') -// (13, 0, 'io_1/D_IN_0') -// (13, 0, 'io_1/PAD') -// (13, 0, 'span12_vert_4') -// (13, 1, 'neigh_op_bot_2') -// (13, 1, 'neigh_op_bot_6') -// (13, 1, 'sp12_v_b_4') -// (13, 2, 'sp12_v_b_3') -// (13, 3, 'sp12_h_r_0') -// (13, 3, 'sp12_v_b_0') -// (14, 1, 'neigh_op_bnl_2') -// (14, 1, 'neigh_op_bnl_6') -// (14, 3, 'sp12_h_r_3') -// (15, 3, 'sp12_h_r_4') -// (16, 3, 'sp12_h_r_7') -// (17, 3, 'sp12_h_r_8') -// (18, 3, 'sp12_h_r_11') -// (19, 3, 'sp12_h_r_12') -// (20, 3, 'sp12_h_r_15') -// (21, 3, 'sp12_h_r_16') -// (22, 3, 'sp12_h_r_19') -// (23, 3, 'sp12_h_r_20') -// (24, 3, 'sp12_h_r_23') -// (25, 3, 'sp12_h_l_23') -// (25, 3, 'sp12_v_t_23') -// (25, 4, 'sp12_v_b_23') -// (25, 5, 'sp12_v_b_20') -// (25, 6, 'sp12_v_b_19') -// (25, 7, 'sp12_v_b_16') -// (25, 8, 'sp12_v_b_15') -// (25, 9, 'sp12_v_b_12') -// (25, 10, 'sp12_v_b_11') -// (25, 11, 'sp12_v_b_8') -// (25, 12, 'sp12_v_b_7') -// (25, 13, 'sp12_v_b_4') -// (25, 14, 'sp12_v_b_3') -// (25, 15, 'sp12_v_b_0') -// (25, 15, 'sp12_v_t_23') -// (25, 16, 'sp12_v_b_23') -// (25, 17, 'sp12_v_b_20') -// (25, 18, 'sp12_v_b_19') -// (25, 19, 'sp12_v_b_16') -// (25, 20, 'sp12_v_b_15') -// (25, 21, 'sp12_v_b_12') -// (25, 22, 'sp12_v_b_11') -// (25, 23, 'sp12_v_b_8') -// (25, 24, 'sp12_v_b_7') -// (25, 25, 'sp12_v_b_4') -// (25, 26, 'sp12_v_b_3') -// (25, 27, 'local_g3_0') -// (25, 27, 'lutff_5/in_0') -// (25, 27, 'sp12_v_b_0') - -// Debug Symbols - - -// Warning: unmatched port 'pin_23' -// Warning: unmatched port 'pin_25' - -endmodule -- cgit v1.2.3