From 7902d3ac3d0a519a07cb7c927e0722335a770f32 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 17 Jan 2016 11:40:22 +0100 Subject: Added icetime to examples --- examples/icestick/.gitignore | 3 ++- examples/icestick/Makefile | 10 +++++++--- 2 files changed, 9 insertions(+), 4 deletions(-) (limited to 'examples/icestick') diff --git a/examples/icestick/.gitignore b/examples/icestick/.gitignore index 4b77d1b..c1fa30b 100644 --- a/examples/icestick/.gitignore +++ b/examples/icestick/.gitignore @@ -1,3 +1,4 @@ example.bin example.blif -example.txt +example.asc +example.rpt diff --git a/examples/icestick/Makefile b/examples/icestick/Makefile index 06a5633..26b79f8 100644 --- a/examples/icestick/Makefile +++ b/examples/icestick/Makefile @@ -2,7 +2,7 @@ PROJ = example PIN_DEF = icestick.pcf DEVICE = 1k -all: $(PROJ).bin +all: $(PROJ).rpt $(PROJ).bin %.blif: %.v yosys -p 'synth_ice40 -top top -blif $@' $< @@ -13,14 +13,18 @@ all: $(PROJ).bin %.bin: %.asc icepack $< $@ +%.rpt: %.asc + icetime -mt $< | tee $@ + prog: $(PROJ).bin iceprog $< sudo-prog: $(PROJ).bin @echo 'Executing prog as root!!!' - iceprog $< + sudo iceprog $< clean: - rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin + rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin +.SECONDARY: .PHONY: all prog clean -- cgit v1.2.3