From ca43729f124466b816f922842353feeb3f6f8e84 Mon Sep 17 00:00:00 2001 From: Aki Van Ness Date: Thu, 15 Sep 2022 06:32:45 -0400 Subject: Replaced instances of `arachne-pnr` with the `nextpnr-ice40` equivalent --- examples/icezum/Makefile | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) (limited to 'examples/icezum/Makefile') diff --git a/examples/icezum/Makefile b/examples/icezum/Makefile index cad44d2..9944b95 100644 --- a/examples/icezum/Makefile +++ b/examples/icezum/Makefile @@ -1,14 +1,15 @@ PROJ = example PIN_DEF = icezum.pcf DEVICE = hx1k +PACKAGE = tq144 all: $(PROJ).rpt $(PROJ).bin -%.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $< +%.json: %.v + yosys -p 'synth_ice40 -top top -json $@' $< -%.asc: $(PIN_DEF) %.blif - arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ +%.asc: $(PIN_DEF) %.json + nextpnr-ice40 --$(DEVICE) --package $(PACKAGE) --asc $@ --pcf $< --json $*.json %.bin: %.asc icepack $< $@ @@ -24,7 +25,7 @@ sudo-prog: $(PROJ).bin sudo iceprog $< clean: - rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin + rm -f $(PROJ).json $(PROJ).asc $(PROJ).rpt $(PROJ).bin .SECONDARY: .PHONY: all prog clean -- cgit v1.2.3