From 99857b1505fa6c651ad9cd4177d8fc68d3e3851c Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 14 Jan 2018 10:45:35 +0000 Subject: Add example for 5k UWG30 package --- examples/up5k_rgb/Makefile.uwg30 | 36 ++++++++++++++++++++++++++++++++++++ examples/up5k_rgb/rgb_uwg30.pcf | 3 +++ 2 files changed, 39 insertions(+) create mode 100644 examples/up5k_rgb/Makefile.uwg30 create mode 100644 examples/up5k_rgb/rgb_uwg30.pcf (limited to 'examples/up5k_rgb') diff --git a/examples/up5k_rgb/Makefile.uwg30 b/examples/up5k_rgb/Makefile.uwg30 new file mode 100644 index 0000000..b3755ff --- /dev/null +++ b/examples/up5k_rgb/Makefile.uwg30 @@ -0,0 +1,36 @@ +PROJ = rgb +PIN_DEF = rgb_uwg30.pcf +DEVICE = up5k + +ARACHNE = arachne-pnr +ARACHNE_ARGS = +ICEPACK = icepack +ICETIME = icetime +ICEPROG = iceprog + +all: $(PROJ).bin + +%.blif: %.v + yosys -p 'synth_ice40 -top top -blif $@' $< + +%.asc: $(PIN_DEF) %.blif + $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ -P uwg30 + +%.bin: %.asc + $(ICEPACK) $< $@ + +%.rpt: %.asc + $(ICETIME) -d $(DEVICE) -mtr $@ $< + +prog: $(PROJ).bin + $(ICEPROG) -S $< + +sudo-prog: $(PROJ).bin + @echo 'Executing prog as root!!!' + sudo $(ICEPROG) -S $< + +clean: + rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin + +.SECONDARY: +.PHONY: all prog clean diff --git a/examples/up5k_rgb/rgb_uwg30.pcf b/examples/up5k_rgb/rgb_uwg30.pcf new file mode 100644 index 0000000..475cfd5 --- /dev/null +++ b/examples/up5k_rgb/rgb_uwg30.pcf @@ -0,0 +1,3 @@ +set_io RGB0 A5 +set_io RGB1 B5 +set_io RGB2 C5 -- cgit v1.2.3