From 88f91de113c894173b1887f91cde52edc8ac50e2 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 21 Oct 2017 19:14:06 +0100 Subject: Basic example, outputs work but inputs don't --- examples/up5k/.gitignore | 4 ++++ examples/up5k/Makefile | 36 ++++++++++++++++++++++++++++++++++++ examples/up5k/example.v | 10 ++++++++++ examples/up5k/up5k.pcf | 3 +++ 4 files changed, 53 insertions(+) create mode 100644 examples/up5k/.gitignore create mode 100644 examples/up5k/Makefile create mode 100644 examples/up5k/example.v create mode 100644 examples/up5k/up5k.pcf (limited to 'examples') diff --git a/examples/up5k/.gitignore b/examples/up5k/.gitignore new file mode 100644 index 0000000..c1fa30b --- /dev/null +++ b/examples/up5k/.gitignore @@ -0,0 +1,4 @@ +example.bin +example.blif +example.asc +example.rpt diff --git a/examples/up5k/Makefile b/examples/up5k/Makefile new file mode 100644 index 0000000..ea16f06 --- /dev/null +++ b/examples/up5k/Makefile @@ -0,0 +1,36 @@ +PROJ = example +PIN_DEF = up5k.pcf +DEVICE = up5k +# Relative paths for easier development without messing with installed version +ARACHNE = ../../../arachne-pnr/bin/arachne-pnr +ARACHNE_ARGS = -c ../../icebox/chipdb-5k.txt +ICEPACK = ../../icepack/icepack +ICETIME = ../../icetime/icetime +ICEPROG = ../../iceprog/iceprog + +all: $(PROJ).bin + +%.blif: %.v + yosys -p 'synth_ice40 -top top -blif $@' $< + +%.asc: $(PIN_DEF) %.blif + $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ + +%.bin: %.asc + $(ICEPACK) $< $@ + +%.rpt: %.asc + $(ICETIME) -d $(DEVICE) -mtr $@ $< + +prog: $(PROJ).bin + $(ICEPROG) -S $< + +sudo-prog: $(PROJ).bin + @echo 'Executing prog as root!!!' + sudo $(ICEPROG) -S $< + +clean: + rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin + +.SECONDARY: +.PHONY: all prog clean diff --git a/examples/up5k/example.v b/examples/up5k/example.v new file mode 100644 index 0000000..01b76b8 --- /dev/null +++ b/examples/up5k/example.v @@ -0,0 +1,10 @@ +module top ( + input btn, + output LED0, + output LED1, +); + +assign LED0 = !btn; +assign LED1 = btn; + +endmodule diff --git a/examples/up5k/up5k.pcf b/examples/up5k/up5k.pcf new file mode 100644 index 0000000..b1d1263 --- /dev/null +++ b/examples/up5k/up5k.pcf @@ -0,0 +1,3 @@ +set_io LED0 12 +set_io LED1 21 +set_io btn 26 -- cgit v1.2.3