From 48154cb6f452d3bdb4da36cc267b4b6c45588dc9 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 18 Jul 2015 13:10:40 +0200 Subject: Imported full dev sources --- icefuzz/tests/carry.v | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100644 icefuzz/tests/carry.v (limited to 'icefuzz/tests/carry.v') diff --git a/icefuzz/tests/carry.v b/icefuzz/tests/carry.v new file mode 100644 index 0000000..42aae86 --- /dev/null +++ b/icefuzz/tests/carry.v @@ -0,0 +1,8 @@ +module top (input a, b, ci, output co); + SB_CARRY carry_cell ( + .I0(a), + .I1(b), + .CI(ci), + .CO(co) + ); +endmodule -- cgit v1.2.3