From dd00d41fb22f9b1f2715d1f8d93de43dc63ba88d Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 2 Aug 2015 09:10:59 +0200 Subject: Added colbufs test case --- icefuzz/tests/colbufs.pcf | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100644 icefuzz/tests/colbufs.pcf (limited to 'icefuzz/tests/colbufs.pcf') diff --git a/icefuzz/tests/colbufs.pcf b/icefuzz/tests/colbufs.pcf new file mode 100644 index 0000000..61c3d41 --- /dev/null +++ b/icefuzz/tests/colbufs.pcf @@ -0,0 +1,8 @@ +set_io clk[0] J3 +set_io clk[1] G1 +set_io clk[2] R9 +set_io clk[3] F7 +set_io clk[4] K9 +set_io clk[5] C8 +set_io clk[6] H11 +set_io clk[7] H16 -- cgit v1.2.3