PROJ = example PIN_DEF = icezum.pcf DEVICE = hx1k all: $(PROJ).rpt $(PROJ).bin %.blif: %.v yosys -p 'synth_ice40 -top top -blif $@' $< %.asc: $(PIN_DEF) %.blif arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ %.bin: %.asc icepack $< $@ %.rpt: %.asc icetime -d $(DEVICE) -mtr $@ $< prog: $(PROJ).bin iceprog $< sudo-prog: $(PROJ).bin @echo 'Executing prog as root!!!' sudo iceprog $< clean: rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin .SECONDARY: .PHONY: all prog clean