module top ( input clk, output LED1, output LED2, output LED3, output LED4, output LED5 ); localparam BITS = 5; localparam LOG2DELAY = 22; function [BITS-1:0] bin2gray(input [BITS-1:0] in); integer i; reg [BITS:0] temp; begin temp = in; for (i=0; i> LOG2DELAY); endmodule