From 6efea35c14e87269a9b4731c64f77c7a474f5106 Mon Sep 17 00:00:00 2001 From: Eddie Hung Date: Sat, 9 Feb 2019 11:13:06 -0800 Subject: YosysHQ/nextpnr#90 --- ice40/regressions/issue0090/conn-crash.json | 5339 +++++++++++++++++++++++++++ ice40/regressions/issue0090/conn-crash.npnr | 1 + ice40/regressions/issue0090/conn-crash.pcf | 9 + ice40/regressions/issue0090/conn-crash.sh | 3 + ice40/regressions/issue0090/conn-crash.v | 43 + ice40/regressions/issue0090/conn-crash.ys | 3 + 6 files changed, 5398 insertions(+) create mode 100644 ice40/regressions/issue0090/conn-crash.json create mode 100644 ice40/regressions/issue0090/conn-crash.npnr create mode 100644 ice40/regressions/issue0090/conn-crash.pcf create mode 100644 ice40/regressions/issue0090/conn-crash.sh create mode 100644 ice40/regressions/issue0090/conn-crash.v create mode 100644 ice40/regressions/issue0090/conn-crash.ys diff --git a/ice40/regressions/issue0090/conn-crash.json b/ice40/regressions/issue0090/conn-crash.json new file mode 100644 index 0000000..fdf762c --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.json @@ -0,0 +1,5339 @@ +{ + "creator": "Yosys 0.7+659 (git sha1 51f1bbee, gcc 5.4.0-6ubuntu1~16.04.10 -fPIC -Os)", + "modules": { + "ICESTORM_LC": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:648" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "CIN": { + "direction": "input", + "bits": [ 6 ] + }, + "CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "CEN": { + "direction": "input", + "bits": [ 8 ] + }, + "SR": { + "direction": "input", + "bits": [ 9 ] + }, + "LO": { + "direction": "output", + "bits": [ 10 ] + }, + "O": { + "direction": "output", + "bits": [ 11 ] + }, + "COUT": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CEN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "CIN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "COUT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + }, + "LO": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650" + } + }, + "O": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650" + } + }, + "SR": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649" + } + } + } + }, + "SB_CARRY": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129" + }, + "ports": { + "CO": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "CI": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CI": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129" + } + } + } + }, + "SB_DFF": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135" + } + } + } + }, + "SB_DFFE": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140" + } + } + } + }, + "SB_DFFER": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "R": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + } + }, + "R": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188" + } + } + } + }, + "SB_DFFES": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206" + } + } + } + }, + "SB_DFFESR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "R": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + } + }, + "R": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178" + } + } + } + }, + "SB_DFFESS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196" + } + } + } + }, + "SB_DFFN": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216" + } + } + } + }, + "SB_DFFNE": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221" + } + } + } + }, + "SB_DFFNER": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "R": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + } + }, + "R": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269" + } + } + } + }, + "SB_DFFNES": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287" + } + } + } + }, + "SB_DFFNESR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "R": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + } + }, + "R": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259" + } + } + } + }, + "SB_DFFNESS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "E": { + "direction": "input", + "bits": [ 4 ] + }, + "S": { + "direction": "input", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + } + }, + "E": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + } + }, + "S": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277" + } + } + } + }, + "SB_DFFNR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "R": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235" + } + }, + "R": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235" + } + } + } + }, + "SB_DFFNS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "S": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251" + } + }, + "S": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251" + } + } + } + }, + "SB_DFFNSR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "R": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227" + } + }, + "R": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227" + } + } + } + }, + "SB_DFFNSS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "S": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243" + } + }, + "S": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243" + } + } + } + }, + "SB_DFFR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "R": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154" + } + }, + "R": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154" + } + } + } + }, + "SB_DFFS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "S": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170" + } + }, + "S": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170" + } + } + } + }, + "SB_DFFSR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "R": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146" + } + }, + "R": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146" + } + } + } + }, + "SB_DFFSS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "C": { + "direction": "input", + "bits": [ 3 ] + }, + "S": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162" + } + }, + "S": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162" + } + } + } + }, + "SB_FILTER_50NS": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1117" + }, + "ports": { + "FILTERIN": { + "direction": "input", + "bits": [ 2 ] + }, + "FILTEROUT": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "FILTERIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1118" + } + }, + "FILTEROUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1119" + } + } + } + }, + "SB_GB": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:112" + }, + "ports": { + "USER_SIGNAL_TO_GLOBAL_BUFFER": { + "direction": "input", + "bits": [ 2 ] + }, + "GLOBAL_BUFFER_OUTPUT": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "GLOBAL_BUFFER_OUTPUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:114" + } + }, + "USER_SIGNAL_TO_GLOBAL_BUFFER": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:113" + } + } + } + }, + "SB_GB_IO": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:73" + }, + "ports": { + "PACKAGE_PIN": { + "direction": "inout", + "bits": [ 2 ] + }, + "GLOBAL_BUFFER_OUTPUT": { + "direction": "output", + "bits": [ 3 ] + }, + "LATCH_INPUT_VALUE": { + "direction": "input", + "bits": [ 4 ] + }, + "CLOCK_ENABLE": { + "direction": "input", + "bits": [ 5 ] + }, + "INPUT_CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "OUTPUT_CLK": { + "direction": "input", + "bits": [ 7 ] + }, + "OUTPUT_ENABLE": { + "direction": "input", + "bits": [ 8 ] + }, + "D_OUT_0": { + "direction": "input", + "bits": [ 9 ] + }, + "D_OUT_1": { + "direction": "input", + "bits": [ 10 ] + }, + "D_IN_0": { + "direction": "output", + "bits": [ 11 ] + }, + "D_IN_1": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "CLOCK_ENABLE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:77" + } + }, + "D_IN_0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:83" + } + }, + "D_IN_1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:84" + } + }, + "D_OUT_0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:81" + } + }, + "D_OUT_1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:82" + } + }, + "GLOBAL_BUFFER_OUTPUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:75" + } + }, + "INPUT_CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:78" + } + }, + "LATCH_INPUT_VALUE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:76" + } + }, + "OUTPUT_CLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:79" + } + }, + "OUTPUT_ENABLE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:80" + } + }, + "PACKAGE_PIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:74" + } + } + } + }, + "SB_HFOSC": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:960" + }, + "ports": { + "CLKHFPU": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKHFEN": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKHF": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLKHF": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:963" + } + }, + "CLKHFEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:962" + } + }, + "CLKHFPU": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:961" + } + } + } + }, + "SB_I2C": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:994" + }, + "ports": { + "SBCLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "SBRWI": { + "direction": "input", + "bits": [ 3 ] + }, + "SBSTBI": { + "direction": "input", + "bits": [ 4 ] + }, + "SBADRI7": { + "direction": "input", + "bits": [ 5 ] + }, + "SBADRI6": { + "direction": "input", + "bits": [ 6 ] + }, + "SBADRI5": { + "direction": "input", + "bits": [ 7 ] + }, + "SBADRI4": { + "direction": "input", + "bits": [ 8 ] + }, + "SBADRI3": { + "direction": "input", + "bits": [ 9 ] + }, + "SBADRI2": { + "direction": "input", + "bits": [ 10 ] + }, + "SBADRI1": { + "direction": "input", + "bits": [ 11 ] + }, + "SBADRI0": { + "direction": "input", + "bits": [ 12 ] + }, + "SBDATI7": { + "direction": "input", + "bits": [ 13 ] + }, + "SBDATI6": { + "direction": "input", + "bits": [ 14 ] + }, + "SBDATI5": { + "direction": "input", + "bits": [ 15 ] + }, + "SBDATI4": { + "direction": "input", + "bits": [ 16 ] + }, + "SBDATI3": { + "direction": "input", + "bits": [ 17 ] + }, + "SBDATI2": { + "direction": "input", + "bits": [ 18 ] + }, + "SBDATI1": { + "direction": "input", + "bits": [ 19 ] + }, + "SBDATI0": { + "direction": "input", + "bits": [ 20 ] + }, + "SCLI": { + "direction": "input", + "bits": [ 21 ] + }, + "SDAI": { + "direction": "input", + "bits": [ 22 ] + }, + "SBDATO7": { + "direction": "output", + "bits": [ 23 ] + }, + "SBDATO6": { + "direction": "output", + "bits": [ 24 ] + }, + "SBDATO5": { + "direction": "output", + "bits": [ 25 ] + }, + "SBDATO4": { + "direction": "output", + "bits": [ 26 ] + }, + "SBDATO3": { + "direction": "output", + "bits": [ 27 ] + }, + "SBDATO2": { + "direction": "output", + "bits": [ 28 ] + }, + "SBDATO1": { + "direction": "output", + "bits": [ 29 ] + }, + "SBDATO0": { + "direction": "output", + "bits": [ 30 ] + }, + "SBACKO": { + "direction": "output", + "bits": [ 31 ] + }, + "I2CIRQ": { + "direction": "output", + "bits": [ 32 ] + }, + "I2CWKUP": { + "direction": "output", + "bits": [ 33 ] + }, + "SCLO": { + "direction": "output", + "bits": [ 34 ] + }, + "SCLOE": { + "direction": "output", + "bits": [ 35 ] + }, + "SDAO": { + "direction": "output", + "bits": [ 36 ] + }, + "SDAOE": { + "direction": "output", + "bits": [ 37 ] + } + }, + "cells": { + }, + "netnames": { + "I2CIRQ": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1025" + } + }, + "I2CWKUP": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1026" + } + }, + "SBACKO": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1024" + } + }, + "SBADRI0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1005" + } + }, + "SBADRI1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1004" + } + }, + "SBADRI2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1003" + } + }, + "SBADRI3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1002" + } + }, + "SBADRI4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1001" + } + }, + "SBADRI5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1000" + } + }, + "SBADRI6": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:999" + } + }, + "SBADRI7": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:998" + } + }, + "SBCLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:995" + } + }, + "SBDATI0": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1013" + } + }, + "SBDATI1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1012" + } + }, + "SBDATI2": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1011" + } + }, + "SBDATI3": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1010" + } + }, + "SBDATI4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1009" + } + }, + "SBDATI5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1008" + } + }, + "SBDATI6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1007" + } + }, + "SBDATI7": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1006" + } + }, + "SBDATO0": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1023" + } + }, + "SBDATO1": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1022" + } + }, + "SBDATO2": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1021" + } + }, + "SBDATO3": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1020" + } + }, + "SBDATO4": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1019" + } + }, + "SBDATO5": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1018" + } + }, + "SBDATO6": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1017" + } + }, + "SBDATO7": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1016" + } + }, + "SBRWI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:996" + } + }, + "SBSTBI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:997" + } + }, + "SCLI": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1014" + } + }, + "SCLO": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1027" + } + }, + "SCLOE": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1028" + } + }, + "SDAI": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1015" + } + }, + "SDAO": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1029" + } + }, + "SDAOE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1030" + } + } + } + }, + "SB_IO": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:7" + }, + "ports": { + "PACKAGE_PIN": { + "direction": "inout", + "bits": [ 2 ] + }, + "LATCH_INPUT_VALUE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLOCK_ENABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "INPUT_CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "OUTPUT_CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "OUTPUT_ENABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "D_OUT_0": { + "direction": "input", + "bits": [ 8 ] + }, + "D_OUT_1": { + "direction": "input", + "bits": [ 9 ] + }, + "D_IN_0": { + "direction": "output", + "bits": [ 10 ] + }, + "D_IN_1": { + "direction": "output", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "CLOCK_ENABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:10" + } + }, + "D_IN_0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:16" + } + }, + "D_IN_1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:17" + } + }, + "D_OUT_0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:14" + } + }, + "D_OUT_1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:15" + } + }, + "INPUT_CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:11" + } + }, + "LATCH_INPUT_VALUE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:9" + } + }, + "OUTPUT_CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:12" + } + }, + "OUTPUT_ENABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:13" + } + }, + "PACKAGE_PIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:8" + } + } + } + }, + "SB_IO_I3C": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1123" + }, + "ports": { + "PACKAGE_PIN": { + "direction": "inout", + "bits": [ 2 ] + }, + "LATCH_INPUT_VALUE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLOCK_ENABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "INPUT_CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "OUTPUT_CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "OUTPUT_ENABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "D_OUT_0": { + "direction": "input", + "bits": [ 8 ] + }, + "D_OUT_1": { + "direction": "input", + "bits": [ 9 ] + }, + "D_IN_0": { + "direction": "output", + "bits": [ 10 ] + }, + "D_IN_1": { + "direction": "output", + "bits": [ 11 ] + }, + "PU_ENB": { + "direction": "input", + "bits": [ 12 ] + }, + "WEAK_PU_ENB": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CLOCK_ENABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1126" + } + }, + "D_IN_0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1132" + } + }, + "D_IN_1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1133" + } + }, + "D_OUT_0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1130" + } + }, + "D_OUT_1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1131" + } + }, + "INPUT_CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1127" + } + }, + "LATCH_INPUT_VALUE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1125" + } + }, + "OUTPUT_CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1128" + } + }, + "OUTPUT_ENABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1129" + } + }, + "PACKAGE_PIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1124" + } + }, + "PU_ENB": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1134" + } + }, + "WEAK_PU_ENB": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1135" + } + } + } + }, + "SB_IO_OD": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1192" + }, + "ports": { + "PACKAGEPIN": { + "direction": "inout", + "bits": [ 2 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLOCKENABLE": { + "direction": "input", + "bits": [ 4 ] + }, + "INPUTCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "OUTPUTCLK": { + "direction": "input", + "bits": [ 6 ] + }, + "OUTPUTENABLE": { + "direction": "input", + "bits": [ 7 ] + }, + "DOUT1": { + "direction": "input", + "bits": [ 8 ] + }, + "DOUT0": { + "direction": "input", + "bits": [ 9 ] + }, + "DIN1": { + "direction": "output", + "bits": [ 10 ] + }, + "DIN0": { + "direction": "output", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "CLOCKENABLE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1195" + } + }, + "DIN0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1202" + } + }, + "DIN1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1201" + } + }, + "DOUT0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1200" + } + }, + "DOUT1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1199" + } + }, + "INPUTCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1196" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1194" + } + }, + "OUTPUTCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1197" + } + }, + "OUTPUTENABLE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1198" + } + }, + "PACKAGEPIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1193" + } + } + } + }, + "SB_LEDDA_IP": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1091" + }, + "ports": { + "LEDDCS": { + "direction": "input", + "bits": [ 2 ] + }, + "LEDDCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "LEDDDAT7": { + "direction": "input", + "bits": [ 4 ] + }, + "LEDDDAT6": { + "direction": "input", + "bits": [ 5 ] + }, + "LEDDDAT5": { + "direction": "input", + "bits": [ 6 ] + }, + "LEDDDAT4": { + "direction": "input", + "bits": [ 7 ] + }, + "LEDDDAT3": { + "direction": "input", + "bits": [ 8 ] + }, + "LEDDDAT2": { + "direction": "input", + "bits": [ 9 ] + }, + "LEDDDAT1": { + "direction": "input", + "bits": [ 10 ] + }, + "LEDDDAT0": { + "direction": "input", + "bits": [ 11 ] + }, + "LEDDADDR3": { + "direction": "input", + "bits": [ 12 ] + }, + "LEDDADDR2": { + "direction": "input", + "bits": [ 13 ] + }, + "LEDDADDR1": { + "direction": "input", + "bits": [ 14 ] + }, + "LEDDADDR0": { + "direction": "input", + "bits": [ 15 ] + }, + "LEDDDEN": { + "direction": "input", + "bits": [ 16 ] + }, + "LEDDEXE": { + "direction": "input", + "bits": [ 17 ] + }, + "LEDDRST": { + "direction": "input", + "bits": [ 18 ] + }, + "PWMOUT0": { + "direction": "output", + "bits": [ 19 ] + }, + "PWMOUT1": { + "direction": "output", + "bits": [ 20 ] + }, + "PWMOUT2": { + "direction": "output", + "bits": [ 21 ] + }, + "LEDDON": { + "direction": "output", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "LEDDADDR0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1105" + } + }, + "LEDDADDR1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1104" + } + }, + "LEDDADDR2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1103" + } + }, + "LEDDADDR3": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1102" + } + }, + "LEDDCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1093" + } + }, + "LEDDCS": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1092" + } + }, + "LEDDDAT0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1101" + } + }, + "LEDDDAT1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1100" + } + }, + "LEDDDAT2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1099" + } + }, + "LEDDDAT3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1098" + } + }, + "LEDDDAT4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1097" + } + }, + "LEDDDAT5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1096" + } + }, + "LEDDDAT6": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1095" + } + }, + "LEDDDAT7": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1094" + } + }, + "LEDDDEN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1106" + } + }, + "LEDDEXE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1107" + } + }, + "LEDDON": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1112" + } + }, + "LEDDRST": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1108" + } + }, + "PWMOUT0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1109" + } + }, + "PWMOUT1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1110" + } + }, + "PWMOUT2": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1111" + } + } + } + }, + "SB_LFOSC": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:969" + }, + "ports": { + "CLKLFPU": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKLFEN": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKLF": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLKLF": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:972" + } + }, + "CLKLFEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:971" + } + }, + "CLKLFPU": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:970" + } + } + } + }, + "SB_LUT4": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121" + } + } + } + }, + "SB_MAC16": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:872" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "A": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] + }, + "B": { + "direction": "input", + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "D": { + "direction": "input", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] + }, + "AHOLD": { + "direction": "input", + "bits": [ 68 ] + }, + "BHOLD": { + "direction": "input", + "bits": [ 69 ] + }, + "CHOLD": { + "direction": "input", + "bits": [ 70 ] + }, + "DHOLD": { + "direction": "input", + "bits": [ 71 ] + }, + "IRSTTOP": { + "direction": "input", + "bits": [ 72 ] + }, + "IRSTBOT": { + "direction": "input", + "bits": [ 73 ] + }, + "ORSTTOP": { + "direction": "input", + "bits": [ 74 ] + }, + "ORSTBOT": { + "direction": "input", + "bits": [ 75 ] + }, + "OLOADTOP": { + "direction": "input", + "bits": [ 76 ] + }, + "OLOADBOT": { + "direction": "input", + "bits": [ 77 ] + }, + "ADDSUBTOP": { + "direction": "input", + "bits": [ 78 ] + }, + "ADDSUBBOT": { + "direction": "input", + "bits": [ 79 ] + }, + "OHOLDTOP": { + "direction": "input", + "bits": [ 80 ] + }, + "OHOLDBOT": { + "direction": "input", + "bits": [ 81 ] + }, + "CI": { + "direction": "input", + "bits": [ 82 ] + }, + "ACCUMCI": { + "direction": "input", + "bits": [ 83 ] + }, + "SIGNEXTIN": { + "direction": "input", + "bits": [ 84 ] + }, + "O": { + "direction": "output", + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + }, + "CO": { + "direction": "output", + "bits": [ 117 ] + }, + "ACCUMCO": { + "direction": "output", + "bits": [ 118 ] + }, + "SIGNEXTOUT": { + "direction": "output", + "bits": [ 119 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:876" + } + }, + "ACCUMCI": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:894" + } + }, + "ACCUMCO": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:898" + } + }, + "ADDSUBBOT": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:890" + } + }, + "ADDSUBTOP": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:889" + } + }, + "AHOLD": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:879" + } + }, + "B": { + "hide_name": 0, + "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:877" + } + }, + "BHOLD": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:880" + } + }, + "C": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:875" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:874" + } + }, + "CHOLD": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:881" + } + }, + "CI": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:893" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:873" + } + }, + "CO": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:897" + } + }, + "D": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:878" + } + }, + "DHOLD": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:882" + } + }, + "IRSTBOT": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:884" + } + }, + "IRSTTOP": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:883" + } + }, + "O": { + "hide_name": 0, + "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:896" + } + }, + "OHOLDBOT": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:892" + } + }, + "OHOLDTOP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:891" + } + }, + "OLOADBOT": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:888" + } + }, + "OLOADTOP": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:887" + } + }, + "ORSTBOT": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:886" + } + }, + "ORSTTOP": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:885" + } + }, + "SIGNEXTIN": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:895" + } + }, + "SIGNEXTOUT": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:899" + } + } + } + }, + "SB_PLL40_2F_CORE": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:791" + }, + "ports": { + "REFERENCECLK": { + "direction": "input", + "bits": [ 2 ] + }, + "PLLOUTCOREA": { + "direction": "output", + "bits": [ 3 ] + }, + "PLLOUTGLOBALA": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLOUTCOREB": { + "direction": "output", + "bits": [ 5 ] + }, + "PLLOUTGLOBALB": { + "direction": "output", + "bits": [ 6 ] + }, + "EXTFEEDBACK": { + "direction": "input", + "bits": [ 7 ] + }, + "DYNAMICDELAY": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 16 ] + }, + "BYPASS": { + "direction": "input", + "bits": [ 17 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 18 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 19 ] + }, + "SDO": { + "direction": "output", + "bits": [ 20 ] + }, + "SDI": { + "direction": "input", + "bits": [ 21 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "BYPASS": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:800" + } + }, + "DYNAMICDELAY": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:798" + } + }, + "EXTFEEDBACK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:797" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:802" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:799" + } + }, + "PLLOUTCOREA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:793" + } + }, + "PLLOUTCOREB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:795" + } + }, + "PLLOUTGLOBALA": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:794" + } + }, + "PLLOUTGLOBALB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:796" + } + }, + "REFERENCECLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:792" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:801" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:805" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:804" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:803" + } + } + } + }, + "SB_PLL40_2F_PAD": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:826" + }, + "ports": { + "PACKAGEPIN": { + "direction": "input", + "bits": [ 2 ] + }, + "PLLOUTCOREA": { + "direction": "output", + "bits": [ 3 ] + }, + "PLLOUTGLOBALA": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLOUTCOREB": { + "direction": "output", + "bits": [ 5 ] + }, + "PLLOUTGLOBALB": { + "direction": "output", + "bits": [ 6 ] + }, + "EXTFEEDBACK": { + "direction": "input", + "bits": [ 7 ] + }, + "DYNAMICDELAY": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 16 ] + }, + "BYPASS": { + "direction": "input", + "bits": [ 17 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 18 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 19 ] + }, + "SDO": { + "direction": "output", + "bits": [ 20 ] + }, + "SDI": { + "direction": "input", + "bits": [ 21 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "BYPASS": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:835" + } + }, + "DYNAMICDELAY": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:833" + } + }, + "EXTFEEDBACK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:832" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:837" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:834" + } + }, + "PACKAGEPIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:827" + } + }, + "PLLOUTCOREA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:828" + } + }, + "PLLOUTCOREB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:830" + } + }, + "PLLOUTGLOBALA": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:829" + } + }, + "PLLOUTGLOBALB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:831" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:836" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:840" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:839" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:838" + } + } + } + }, + "SB_PLL40_2_PAD": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:757" + }, + "ports": { + "PACKAGEPIN": { + "direction": "input", + "bits": [ 2 ] + }, + "PLLOUTCOREA": { + "direction": "output", + "bits": [ 3 ] + }, + "PLLOUTGLOBALA": { + "direction": "output", + "bits": [ 4 ] + }, + "PLLOUTCOREB": { + "direction": "output", + "bits": [ 5 ] + }, + "PLLOUTGLOBALB": { + "direction": "output", + "bits": [ 6 ] + }, + "EXTFEEDBACK": { + "direction": "input", + "bits": [ 7 ] + }, + "DYNAMICDELAY": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 16 ] + }, + "BYPASS": { + "direction": "input", + "bits": [ 17 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 18 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 19 ] + }, + "SDO": { + "direction": "output", + "bits": [ 20 ] + }, + "SDI": { + "direction": "input", + "bits": [ 21 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "BYPASS": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:766" + } + }, + "DYNAMICDELAY": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:764" + } + }, + "EXTFEEDBACK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:763" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:768" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:765" + } + }, + "PACKAGEPIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:758" + } + }, + "PLLOUTCOREA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:759" + } + }, + "PLLOUTCOREB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:761" + } + }, + "PLLOUTGLOBALA": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:760" + } + }, + "PLLOUTGLOBALB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:762" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:767" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:771" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:770" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:769" + } + } + } + }, + "SB_PLL40_CORE": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:695" + }, + "ports": { + "REFERENCECLK": { + "direction": "input", + "bits": [ 2 ] + }, + "PLLOUTCORE": { + "direction": "output", + "bits": [ 3 ] + }, + "PLLOUTGLOBAL": { + "direction": "output", + "bits": [ 4 ] + }, + "EXTFEEDBACK": { + "direction": "input", + "bits": [ 5 ] + }, + "DYNAMICDELAY": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 14 ] + }, + "BYPASS": { + "direction": "input", + "bits": [ 15 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 16 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 17 ] + }, + "SDO": { + "direction": "output", + "bits": [ 18 ] + }, + "SDI": { + "direction": "input", + "bits": [ 19 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 20 ] + } + }, + "cells": { + }, + "netnames": { + "BYPASS": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:702" + } + }, + "DYNAMICDELAY": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:700" + } + }, + "EXTFEEDBACK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:699" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:704" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:701" + } + }, + "PLLOUTCORE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:697" + } + }, + "PLLOUTGLOBAL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:698" + } + }, + "REFERENCECLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:696" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:703" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:707" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:706" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:705" + } + } + } + }, + "SB_PLL40_PAD": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:726" + }, + "ports": { + "PACKAGEPIN": { + "direction": "input", + "bits": [ 2 ] + }, + "PLLOUTCORE": { + "direction": "output", + "bits": [ 3 ] + }, + "PLLOUTGLOBAL": { + "direction": "output", + "bits": [ 4 ] + }, + "EXTFEEDBACK": { + "direction": "input", + "bits": [ 5 ] + }, + "DYNAMICDELAY": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 14 ] + }, + "BYPASS": { + "direction": "input", + "bits": [ 15 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 16 ] + }, + "LATCHINPUTVALUE": { + "direction": "input", + "bits": [ 17 ] + }, + "SDO": { + "direction": "output", + "bits": [ 18 ] + }, + "SDI": { + "direction": "input", + "bits": [ 19 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 20 ] + } + }, + "cells": { + }, + "netnames": { + "BYPASS": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:733" + } + }, + "DYNAMICDELAY": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:731" + } + }, + "EXTFEEDBACK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:730" + } + }, + "LATCHINPUTVALUE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:735" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:732" + } + }, + "PACKAGEPIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:727" + } + }, + "PLLOUTCORE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:728" + } + }, + "PLLOUTGLOBAL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:729" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:734" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:738" + } + }, + "SDI": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:737" + } + }, + "SDO": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:736" + } + } + } + }, + "SB_RAM40_4K": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:297" + }, + "ports": { + "RDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "RCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "RCLKE": { + "direction": "input", + "bits": [ 19 ] + }, + "RE": { + "direction": "input", + "bits": [ 20 ] + }, + "RADDR": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 32 ] + }, + "WCLKE": { + "direction": "input", + "bits": [ 33 ] + }, + "WE": { + "direction": "input", + "bits": [ 34 ] + }, + "WADDR": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "MASK": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "WDATA": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + } + }, + "cells": { + }, + "netnames": { + "MASK": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:303" + } + }, + "RADDR": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:300" + } + }, + "RCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299" + } + }, + "RCLKE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299" + } + }, + "RDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:298" + } + }, + "RE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299" + } + }, + "WADDR": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:302" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301" + } + }, + "WCLKE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301" + } + }, + "WDATA": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:303" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301" + } + } + } + }, + "SB_RAM40_4KNR": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:460" + }, + "ports": { + "RDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "RCLKN": { + "direction": "input", + "bits": [ 18 ] + }, + "RCLKE": { + "direction": "input", + "bits": [ 19 ] + }, + "RE": { + "direction": "input", + "bits": [ 20 ] + }, + "RADDR": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "WCLK": { + "direction": "input", + "bits": [ 32 ] + }, + "WCLKE": { + "direction": "input", + "bits": [ 33 ] + }, + "WE": { + "direction": "input", + "bits": [ 34 ] + }, + "WADDR": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "MASK": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "WDATA": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + } + }, + "cells": { + }, + "netnames": { + "MASK": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:466" + } + }, + "RADDR": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:463" + } + }, + "RCLKE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462" + } + }, + "RCLKN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462" + } + }, + "RDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:461" + } + }, + "RE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462" + } + }, + "WADDR": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:465" + } + }, + "WCLK": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464" + } + }, + "WCLKE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464" + } + }, + "WDATA": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:466" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464" + } + } + } + }, + "SB_RAM40_4KNRNW": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:584" + }, + "ports": { + "RDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "RCLKN": { + "direction": "input", + "bits": [ 18 ] + }, + "RCLKE": { + "direction": "input", + "bits": [ 19 ] + }, + "RE": { + "direction": "input", + "bits": [ 20 ] + }, + "RADDR": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "WCLKN": { + "direction": "input", + "bits": [ 32 ] + }, + "WCLKE": { + "direction": "input", + "bits": [ 33 ] + }, + "WE": { + "direction": "input", + "bits": [ 34 ] + }, + "WADDR": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "MASK": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "WDATA": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + } + }, + "cells": { + }, + "netnames": { + "MASK": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:590" + } + }, + "RADDR": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:587" + } + }, + "RCLKE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586" + } + }, + "RCLKN": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586" + } + }, + "RDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:585" + } + }, + "RE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586" + } + }, + "WADDR": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:589" + } + }, + "WCLKE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588" + } + }, + "WCLKN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588" + } + }, + "WDATA": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:590" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588" + } + } + } + }, + "SB_RAM40_4KNW": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:522" + }, + "ports": { + "RDATA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "RCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "RCLKE": { + "direction": "input", + "bits": [ 19 ] + }, + "RE": { + "direction": "input", + "bits": [ 20 ] + }, + "RADDR": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "WCLKN": { + "direction": "input", + "bits": [ 32 ] + }, + "WCLKE": { + "direction": "input", + "bits": [ 33 ] + }, + "WE": { + "direction": "input", + "bits": [ 34 ] + }, + "WADDR": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] + }, + "MASK": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "WDATA": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + } + }, + "cells": { + }, + "netnames": { + "MASK": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:528" + } + }, + "RADDR": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:525" + } + }, + "RCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524" + } + }, + "RCLKE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524" + } + }, + "RDATA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:523" + } + }, + "RE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524" + } + }, + "WADDR": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:527" + } + }, + "WCLKE": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526" + } + }, + "WCLKN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526" + } + }, + "WDATA": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:528" + } + }, + "WE": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526" + } + } + } + }, + "SB_RGBA_DRV": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:977" + }, + "ports": { + "CURREN": { + "direction": "input", + "bits": [ 2 ] + }, + "RGBLEDEN": { + "direction": "input", + "bits": [ 3 ] + }, + "RGB0PWM": { + "direction": "input", + "bits": [ 4 ] + }, + "RGB1PWM": { + "direction": "input", + "bits": [ 5 ] + }, + "RGB2PWM": { + "direction": "input", + "bits": [ 6 ] + }, + "RGB0": { + "direction": "output", + "bits": [ 7 ] + }, + "RGB1": { + "direction": "output", + "bits": [ 8 ] + }, + "RGB2": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "CURREN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:978" + } + }, + "RGB0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:983" + } + }, + "RGB0PWM": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:980" + } + }, + "RGB1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:984" + } + }, + "RGB1PWM": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:981" + } + }, + "RGB2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:985" + } + }, + "RGB2PWM": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:982" + } + }, + "RGBLEDEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:979" + } + } + } + }, + "SB_SPI": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1037" + }, + "ports": { + "SBCLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "SBRWI": { + "direction": "input", + "bits": [ 3 ] + }, + "SBSTBI": { + "direction": "input", + "bits": [ 4 ] + }, + "SBADRI7": { + "direction": "input", + "bits": [ 5 ] + }, + "SBADRI6": { + "direction": "input", + "bits": [ 6 ] + }, + "SBADRI5": { + "direction": "input", + "bits": [ 7 ] + }, + "SBADRI4": { + "direction": "input", + "bits": [ 8 ] + }, + "SBADRI3": { + "direction": "input", + "bits": [ 9 ] + }, + "SBADRI2": { + "direction": "input", + "bits": [ 10 ] + }, + "SBADRI1": { + "direction": "input", + "bits": [ 11 ] + }, + "SBADRI0": { + "direction": "input", + "bits": [ 12 ] + }, + "SBDATI7": { + "direction": "input", + "bits": [ 13 ] + }, + "SBDATI6": { + "direction": "input", + "bits": [ 14 ] + }, + "SBDATI5": { + "direction": "input", + "bits": [ 15 ] + }, + "SBDATI4": { + "direction": "input", + "bits": [ 16 ] + }, + "SBDATI3": { + "direction": "input", + "bits": [ 17 ] + }, + "SBDATI2": { + "direction": "input", + "bits": [ 18 ] + }, + "SBDATI1": { + "direction": "input", + "bits": [ 19 ] + }, + "SBDATI0": { + "direction": "input", + "bits": [ 20 ] + }, + "MI": { + "direction": "input", + "bits": [ 21 ] + }, + "SI": { + "direction": "input", + "bits": [ 22 ] + }, + "SCKI": { + "direction": "input", + "bits": [ 23 ] + }, + "SCSNI": { + "direction": "input", + "bits": [ 24 ] + }, + "SBDATO7": { + "direction": "output", + "bits": [ 25 ] + }, + "SBDATO6": { + "direction": "output", + "bits": [ 26 ] + }, + "SBDATO5": { + "direction": "output", + "bits": [ 27 ] + }, + "SBDATO4": { + "direction": "output", + "bits": [ 28 ] + }, + "SBDATO3": { + "direction": "output", + "bits": [ 29 ] + }, + "SBDATO2": { + "direction": "output", + "bits": [ 30 ] + }, + "SBDATO1": { + "direction": "output", + "bits": [ 31 ] + }, + "SBDATO0": { + "direction": "output", + "bits": [ 32 ] + }, + "SBACKO": { + "direction": "output", + "bits": [ 33 ] + }, + "SPIIRQ": { + "direction": "output", + "bits": [ 34 ] + }, + "SPIWKUP": { + "direction": "output", + "bits": [ 35 ] + }, + "SO": { + "direction": "output", + "bits": [ 36 ] + }, + "SOE": { + "direction": "output", + "bits": [ 37 ] + }, + "MO": { + "direction": "output", + "bits": [ 38 ] + }, + "MOE": { + "direction": "output", + "bits": [ 39 ] + }, + "SCKO": { + "direction": "output", + "bits": [ 40 ] + }, + "SCKOE": { + "direction": "output", + "bits": [ 41 ] + }, + "MCSNO3": { + "direction": "output", + "bits": [ 42 ] + }, + "MCSNO2": { + "direction": "output", + "bits": [ 43 ] + }, + "MCSNO1": { + "direction": "output", + "bits": [ 44 ] + }, + "MCSNO0": { + "direction": "output", + "bits": [ 45 ] + }, + "MCSNOE3": { + "direction": "output", + "bits": [ 46 ] + }, + "MCSNOE2": { + "direction": "output", + "bits": [ 47 ] + }, + "MCSNOE1": { + "direction": "output", + "bits": [ 48 ] + }, + "MCSNOE0": { + "direction": "output", + "bits": [ 49 ] + } + }, + "cells": { + }, + "netnames": { + "MCSNO0": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1081" + } + }, + "MCSNO1": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1080" + } + }, + "MCSNO2": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1079" + } + }, + "MCSNO3": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1078" + } + }, + "MCSNOE0": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1085" + } + }, + "MCSNOE1": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1084" + } + }, + "MCSNOE2": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1083" + } + }, + "MCSNOE3": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1082" + } + }, + "MI": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1057" + } + }, + "MO": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1074" + } + }, + "MOE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1075" + } + }, + "SBACKO": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1069" + } + }, + "SBADRI0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1048" + } + }, + "SBADRI1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1047" + } + }, + "SBADRI2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1046" + } + }, + "SBADRI3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1045" + } + }, + "SBADRI4": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1044" + } + }, + "SBADRI5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1043" + } + }, + "SBADRI6": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1042" + } + }, + "SBADRI7": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1041" + } + }, + "SBCLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1038" + } + }, + "SBDATI0": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1056" + } + }, + "SBDATI1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1055" + } + }, + "SBDATI2": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1054" + } + }, + "SBDATI3": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1053" + } + }, + "SBDATI4": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1052" + } + }, + "SBDATI5": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1051" + } + }, + "SBDATI6": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1050" + } + }, + "SBDATI7": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1049" + } + }, + "SBDATO0": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1068" + } + }, + "SBDATO1": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1067" + } + }, + "SBDATO2": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1066" + } + }, + "SBDATO3": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1065" + } + }, + "SBDATO4": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1064" + } + }, + "SBDATO5": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1063" + } + }, + "SBDATO6": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1062" + } + }, + "SBDATO7": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1061" + } + }, + "SBRWI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1039" + } + }, + "SBSTBI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1040" + } + }, + "SCKI": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1059" + } + }, + "SCKO": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1076" + } + }, + "SCKOE": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1077" + } + }, + "SCSNI": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1060" + } + }, + "SI": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1058" + } + }, + "SO": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1072" + } + }, + "SOE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1073" + } + }, + "SPIIRQ": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1070" + } + }, + "SPIWKUP": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1071" + } + } + } + }, + "SB_SPRAM256KA": { + "attributes": { + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:923" + }, + "ports": { + "ADDRESS": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] + }, + "DATAIN": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "MASKWREN": { + "direction": "input", + "bits": [ 32, 33, 34, 35 ] + }, + "WREN": { + "direction": "input", + "bits": [ 36 ] + }, + "CHIPSELECT": { + "direction": "input", + "bits": [ 37 ] + }, + "CLOCK": { + "direction": "input", + "bits": [ 38 ] + }, + "STANDBY": { + "direction": "input", + "bits": [ 39 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 40 ] + }, + "POWEROFF": { + "direction": "input", + "bits": [ 41 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRESS": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:924" + } + }, + "CHIPSELECT": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + }, + "CLOCK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:925" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:928" + } + }, + "MASKWREN": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:926" + } + }, + "POWEROFF": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + }, + "STANDBY": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + }, + "WREN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927" + } + } + } + }, + "SB_WARMBOOT": { + "attributes": { + "keep": 1, + "blackbox": 1, + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:863" + }, + "ports": { + "BOOT": { + "direction": "input", + "bits": [ 2 ] + }, + "S1": { + "direction": "input", + "bits": [ 3 ] + }, + "S0": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "BOOT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:864" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:866" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:865" + } + } + } + }, + "top": { + "attributes": { + "top": 1, + "src": "conn-crash.v:2" + }, + "ports": { + "sevenseg_segment7": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment6": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment5": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment4": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment3": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment2": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_segment1": { + "direction": "output", + "bits": [ "1" ] + }, + "sevenseg_enable0": { + "direction": "output", + "bits": [ "1" ] + }, + "clk12": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "clk12": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "conn-crash.v:11" + } + }, + "por_clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "conn-crash.v:16", + "unused_bits": "0" + } + }, + "sevenseg_enable0": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:10" + } + }, + "sevenseg_segment1": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:9" + } + }, + "sevenseg_segment2": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:8" + } + }, + "sevenseg_segment3": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:7" + } + }, + "sevenseg_segment4": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:6" + } + }, + "sevenseg_segment5": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:5" + } + }, + "sevenseg_segment6": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:4" + } + }, + "sevenseg_segment7": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "conn-crash.v:3" + } + }, + "sys_clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "conn-crash.v:14", + "unused_bits": "0" + } + } + } + } + } +} diff --git a/ice40/regressions/issue0090/conn-crash.npnr b/ice40/regressions/issue0090/conn-crash.npnr new file mode 100644 index 0000000..0c1fe13 --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.npnr @@ -0,0 +1 @@ +--up5k --package sg48 --freq 12.000048000192 diff --git a/ice40/regressions/issue0090/conn-crash.pcf b/ice40/regressions/issue0090/conn-crash.pcf new file mode 100644 index 0000000..bd616a9 --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.pcf @@ -0,0 +1,9 @@ +set_io sevenseg_segment7 32 +set_io sevenseg_segment6 38 +set_io sevenseg_segment5 31 +set_io sevenseg_segment4 28 +set_io sevenseg_segment3 37 +set_io sevenseg_segment2 35 +set_io sevenseg_segment1 34 +set_io sevenseg_enable0 43 +set_io clk12 35 diff --git a/ice40/regressions/issue0090/conn-crash.sh b/ice40/regressions/issue0090/conn-crash.sh new file mode 100644 index 0000000..57a20ef --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.sh @@ -0,0 +1,3 @@ +:> conn-crash.log +${NPNR} --json conn-crash.json --asc conn-crash.asc --pcf conn-crash.pcf `cat conn-crash.npnr` > conn-crash.log 2>&1 || true +grep "^ERROR: Cell 'clk12\$sb_io' cannot be bound to bel 'X12/Y31/io1' since it is already bound to cell 'sevenseg_segment2\$sb_io'$" conn-crash.log diff --git a/ice40/regressions/issue0090/conn-crash.v b/ice40/regressions/issue0090/conn-crash.v new file mode 100644 index 0000000..5b58b5b --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.v @@ -0,0 +1,43 @@ +/* Machine-generated using Migen */ +module top( + output sevenseg_segment7, + output sevenseg_segment6, + output sevenseg_segment5, + output sevenseg_segment4, + output sevenseg_segment3, + output sevenseg_segment2, + output sevenseg_segment1, + output sevenseg_enable0, + input clk12 +); + +wire sys_clk; +wire sys_rst; +wire por_clk; +reg int_rst = 1'd1; + + +// Adding a dummy event (using a dummy signal 'dummy_s') to get the simulator +// to run the combinatorial process once at the beginning. +// synthesis translate_off +reg dummy_s; +initial dummy_s <= 1'd0; +// synthesis translate_on + +assign sevenseg_enable0 = 1'd1; +assign sevenseg_segment7 = 1'd1; +assign sevenseg_segment6 = 1'd1; +assign sevenseg_segment5 = 1'd1; +assign sevenseg_segment4 = 1'd1; +assign sevenseg_segment3 = 1'd1; +assign sevenseg_segment2 = 1'd1; +assign sevenseg_segment1 = 1'd1; +assign sys_clk = clk12; +assign por_clk = clk12; +assign sys_rst = int_rst; + +always @(posedge por_clk) begin + int_rst <= 1'd0; +end + +endmodule diff --git a/ice40/regressions/issue0090/conn-crash.ys b/ice40/regressions/issue0090/conn-crash.ys new file mode 100644 index 0000000..3318e30 --- /dev/null +++ b/ice40/regressions/issue0090/conn-crash.ys @@ -0,0 +1,3 @@ +read_verilog conn-crash.v +attrmap -tocase keep -imap keep="true" keep=1 -imap keep="false" keep=0 -remove keep=0 +synth_ice40 -top top -json conn-crash.json \ No newline at end of file -- cgit v1.2.3