{ "creator": "Yosys 0.7+651 (git sha1 96d79878, x86_64-w64-mingw32-g++ 7.3.0 -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:648" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649" } } } }, "SB_CARRY": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129" } } } }, "SB_DFF": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135" } } } }, "SB_DFFE": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140" } } } }, "SB_DFFER": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188" } } } }, "SB_DFFES": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206" } } } }, "SB_DFFESR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178" } } } }, "SB_DFFESS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196" } } } }, "SB_DFFN": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216" } } } }, "SB_DFFNE": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221" } } } }, "SB_DFFNER": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269" } } } }, "SB_DFFNES": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287" } } } }, "SB_DFFNESR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277" } } } }, "SB_DFFNR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235" } } } }, "SB_DFFNS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251" } } } }, "SB_DFFNSR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243" } } } }, "SB_DFFR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154" } } } }, "SB_DFFS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170" } } } }, "SB_DFFSR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146" } } } }, "SB_DFFSS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1096" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1097" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1098" } } } }, "SB_GB": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:113" } } } }, "SB_GB_IO": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:74" } } } }, "SB_HFOSC": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:939" }, "ports": { "CLKHFPU": { "direction": "input", "bits": [ 2 ] }, "CLKHFEN": { "direction": "input", "bits": [ 3 ] }, "CLKHF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:942" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:941" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:940" } } } }, "SB_I2C": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:973" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1004" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1005" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1003" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:984" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:983" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:982" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:981" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:980" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:979" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:978" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:977" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:974" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:992" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:991" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:990" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:989" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:988" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:987" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:986" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:985" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1002" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1001" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1000" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:999" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:998" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:997" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:996" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:995" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:975" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:976" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:993" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1006" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1007" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:994" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1008" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1009" } } } }, "SB_IO": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:8" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1102" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1105" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1111" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1112" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1109" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1110" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1106" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1104" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1107" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1108" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1103" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1113" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1114" } } } }, "SB_IO_OD": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1171" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1174" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1181" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1180" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1179" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1178" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1175" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1173" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1176" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1177" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1172" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1070" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1084" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1083" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1082" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1081" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1072" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1071" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1080" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1079" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1078" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1077" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1076" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1075" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1074" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1073" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1085" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1086" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1091" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1087" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1088" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1089" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1090" } } } }, "SB_LFOSC": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:948" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:951" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:950" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:949" } } } }, "SB_LUT4": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121" } } } }, "SB_MAC16": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:872" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:876" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:894" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:898" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:890" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:889" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:879" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:877" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:880" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:875" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:874" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:881" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:893" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:873" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:897" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:878" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:882" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:884" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:883" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:896" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:892" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:891" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:888" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:887" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:886" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:885" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:895" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:899" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:791" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:800" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:798" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:797" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:802" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:799" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:793" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:795" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:794" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:796" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:792" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:801" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:805" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:804" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:803" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:826" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:835" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:833" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:832" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:837" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:834" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:827" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:828" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:830" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:829" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:831" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:836" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:840" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:839" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:838" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:757" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:766" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:764" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:763" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:768" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:765" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:758" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:759" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:761" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:760" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:762" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:767" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:771" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:770" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:769" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:695" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:702" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:700" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:699" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:704" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:701" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:697" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:698" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:696" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:703" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:707" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:706" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:705" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:726" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:733" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:731" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:730" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:735" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:732" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:727" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:728" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:729" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:734" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:738" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:737" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:736" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:460" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:463" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:461" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:465" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:584" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:587" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:585" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:589" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:522" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:525" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:523" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:527" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:956" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:957" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:962" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:959" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:963" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:960" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:964" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:961" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:958" } } } }, "SB_SPI": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1016" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1060" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1059" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1058" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1057" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1064" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1063" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1062" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1061" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1036" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1053" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1054" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1048" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1027" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1026" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1025" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1023" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1022" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1021" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1020" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1017" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1035" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1034" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1033" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1032" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1031" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1030" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1029" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1028" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1047" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1046" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1045" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1044" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1043" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1042" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1041" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1040" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1018" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1019" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1038" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1055" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1056" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1039" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1037" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1051" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1052" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1049" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1050" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:924" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:925" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:929" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:930" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:926" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:934" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:927" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:933" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:932" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:931" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:928" } } } }, "SB_WARMBOOT": { "attributes": { "keep": 1, "blackbox": 1, "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:863" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:864" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:866" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:865" } } } }, "top": { "attributes": { "top": 1, "src": "top.v:2" }, "ports": { "clk16": { "direction": "input", "bits": [ 2 ] }, "usb_d_p": { "direction": "input", "bits": [ 3 ] }, "usb_d_n": { "direction": "input", "bits": [ 4 ] }, "usb_pullup": { "direction": "output", "bits": [ "0" ] }, "user_led": { "direction": "output", "bits": [ 5 ] } }, "cells": { "$abc$222$auto$blifparse.cc:492:parse_blif$223": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 6 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 7 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 8 ], "I3": [ "0" ], "O": [ 9 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 10 ], "I3": [ 11 ], "O": [ 12 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 11 ], "CO": [ 13 ], "I0": [ "0" ], "I1": [ 10 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 14 ], "I3": [ 13 ], "O": [ 15 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 13 ], "CO": [ 16 ], "I0": [ "0" ], "I1": [ 14 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 17 ], "I3": [ 16 ], "O": [ 18 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 16 ], "CO": [ 19 ], "I0": [ "0" ], "I1": [ 17 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 20 ], "I3": [ 19 ], "O": [ 21 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 19 ], "CO": [ 22 ], "I0": [ "0" ], "I1": [ 20 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 23 ], "I3": [ 22 ], "O": [ 24 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 22 ], "CO": [ 25 ], "I0": [ "0" ], "I1": [ 23 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 26 ], "I3": [ 25 ], "O": [ 27 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 25 ], "CO": [ 28 ], "I0": [ "0" ], "I1": [ 26 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 29 ], "I3": [ 28 ], "O": [ 30 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 28 ], "CO": [ 31 ], "I0": [ "0" ], "I1": [ 29 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 32 ], "I3": [ 31 ], "O": [ 33 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 31 ], "CO": [ 34 ], "I0": [ "0" ], "I1": [ 32 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 35 ], "I3": [ 34 ], "O": [ 36 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 34 ], "CO": [ 37 ], "I0": [ "0" ], "I1": [ 35 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 38 ], "I3": [ 37 ], "O": [ 39 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 37 ], "CO": [ 40 ], "I0": [ "0" ], "I1": [ 38 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 8 ], "CO": [ 41 ], "I0": [ "0" ], "I1": [ 6 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 42 ], "I3": [ 40 ], "O": [ 43 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 40 ], "CO": [ 44 ], "I0": [ "0" ], "I1": [ 42 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 45 ], "I3": [ 44 ], "O": [ 46 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 44 ], "CO": [ 47 ], "I0": [ "0" ], "I1": [ 45 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 5 ], "I3": [ 47 ], "O": [ 48 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 49 ], "I3": [ 41 ], "O": [ 50 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 41 ], "CO": [ 51 ], "I0": [ "0" ], "I1": [ 49 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 52 ], "I3": [ 51 ], "O": [ 53 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 51 ], "CO": [ 54 ], "I0": [ "0" ], "I1": [ 52 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 55 ], "I3": [ 54 ], "O": [ 56 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 54 ], "CO": [ 57 ], "I0": [ "0" ], "I1": [ 55 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 58 ], "I3": [ 57 ], "O": [ 59 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 57 ], "CO": [ 60 ], "I0": [ "0" ], "I1": [ 58 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 61 ], "I3": [ 60 ], "O": [ 62 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 60 ], "CO": [ 63 ], "I0": [ "0" ], "I1": [ 61 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 64 ], "I3": [ 63 ], "O": [ 65 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 63 ], "CO": [ 66 ], "I0": [ "0" ], "I1": [ 64 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 67 ], "I3": [ 66 ], "O": [ 68 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 66 ], "CO": [ 69 ], "I0": [ "0" ], "I1": [ 67 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 70 ], "I3": [ 69 ], "O": [ 71 ] } }, "$auto$alumacc.cc:474:replace_alu$9.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 69 ], "CO": [ 11 ], "I0": [ "0" ], "I1": [ 70 ] } }, "$auto$simplemap.cc:420:simplemap_dff$65": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 9 ], "Q": [ 8 ] } }, "$auto$simplemap.cc:420:simplemap_dff$66": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 7 ], "E": [ 8 ], "Q": [ 6 ] } }, "$auto$simplemap.cc:420:simplemap_dff$67": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 50 ], "Q": [ 49 ] } }, "$auto$simplemap.cc:420:simplemap_dff$68": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 53 ], "Q": [ 52 ] } }, "$auto$simplemap.cc:420:simplemap_dff$69": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 56 ], "Q": [ 55 ] } }, "$auto$simplemap.cc:420:simplemap_dff$70": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 59 ], "Q": [ 58 ] } }, "$auto$simplemap.cc:420:simplemap_dff$71": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 62 ], "Q": [ 61 ] } }, "$auto$simplemap.cc:420:simplemap_dff$72": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 65 ], "Q": [ 64 ] } }, "$auto$simplemap.cc:420:simplemap_dff$73": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 68 ], "Q": [ 67 ] } }, "$auto$simplemap.cc:420:simplemap_dff$74": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 71 ], "Q": [ 70 ] } }, "$auto$simplemap.cc:420:simplemap_dff$75": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 12 ], "Q": [ 10 ] } }, "$auto$simplemap.cc:420:simplemap_dff$76": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 15 ], "Q": [ 14 ] } }, "$auto$simplemap.cc:420:simplemap_dff$77": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 18 ], "Q": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$78": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 21 ], "Q": [ 20 ] } }, "$auto$simplemap.cc:420:simplemap_dff$79": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 24 ], "Q": [ 23 ] } }, "$auto$simplemap.cc:420:simplemap_dff$80": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 27 ], "Q": [ 26 ] } }, "$auto$simplemap.cc:420:simplemap_dff$81": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 30 ], "Q": [ 29 ] } }, "$auto$simplemap.cc:420:simplemap_dff$82": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 33 ], "Q": [ 32 ] } }, "$auto$simplemap.cc:420:simplemap_dff$83": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 36 ], "Q": [ 35 ] } }, "$auto$simplemap.cc:420:simplemap_dff$84": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 39 ], "Q": [ 38 ] } }, "$auto$simplemap.cc:420:simplemap_dff$85": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 43 ], "Q": [ 42 ] } }, "$auto$simplemap.cc:420:simplemap_dff$86": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 46 ], "Q": [ 45 ] } }, "$auto$simplemap.cc:420:simplemap_dff$87": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 48 ], "Q": [ 5 ] } } }, "netnames": { "$0\\count[23:0]": { "hide_name": 1, "bits": [ 9, 7, 50, 53, 56, 59, 62, 65, 68, 71, 12, 15, 18, 21, 24, 27, 30, 33, 36, 39, 43, 46, 48, 72 ], "attributes": { "src": "top.v:28" } }, "$auto$alumacc.cc:474:replace_alu$9.C": { "hide_name": 1, "bits": [ 73, 74, 41, 51, 54, 57, 60, 63, 66, 69, 11, 13, 16, 19, 22, 25, 28, 31, 34, 37, 40, 44, 47, 75 ], "attributes": { "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:43" } }, "clk16": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "top.v:3" } }, "clk16_1": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "top.v:12" } }, "count": { "hide_name": 0, "bits": [ 8, 6, 49, 52, 55, 58, 61, 64, 67, 70, 10, 14, 17, 20, 23, 26, 29, 32, 35, 38, 42, 45, 5, "x" ], "attributes": { "init": 0, "src": "top.v:13" } }, "sys_clk": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "top.v:10" } }, "usb_d_n": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "top.v:5" } }, "usb_d_p": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "top.v:4" } }, "usb_pullup": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "top.v:6" } }, "user_led": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "top.v:7" } } } } } }