{ "creator": "Yosys 0.7+661 (git sha1 592a82c, clang 3.8.0-2ubuntu4 -fPIC -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } } } }, "SB_CARRY": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } } } }, "SB_DFF": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } } } }, "SB_DFFE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } } } }, "SB_DFFER": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } } } }, "SB_DFFES": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } } } }, "SB_DFFESR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } } } }, "SB_DFFESS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } } } }, "SB_DFFN": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } } } }, "SB_DFFNE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } } } }, "SB_DFFNER": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } } } }, "SB_DFFNES": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } } } }, "SB_DFFNESR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } } } }, "SB_DFFNR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } } } }, "SB_DFFNS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } } } }, "SB_DFFNSR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } } } }, "SB_DFFR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } } } }, "SB_DFFS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } } } }, "SB_DFFSR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } } } }, "SB_DFFSS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119" } } } }, "SB_GB": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113" } } } }, "SB_GB_IO": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74" } } } }, "SB_HFOSC": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960" }, "ports": { "CLKHFPU": { "direction": "input", "bits": [ 2 ] }, "CLKHFEN": { "direction": "input", "bits": [ 3 ] }, "CLKHF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961" } } } }, "SB_I2C": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030" } } } }, "SB_IO": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135" } } } }, "SB_IO_OD": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111" } } } }, "SB_LFOSC": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970" } } } }, "SB_LUT4": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } } } }, "SB_MAC16": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979" } } } }, "SB_SPI": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } } } }, "SB_WARMBOOT": { "attributes": { "blackbox": 1, "keep": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865" } } } }, "mlaccel_top": { "attributes": { "top": 1, "src": "../rtl/top.v:18" }, "ports": { "clock": { "direction": "input", "bits": [ 2 ] }, "qpi_csb": { "direction": "input", "bits": [ 3 ] }, "qpi_clk": { "direction": "input", "bits": [ 4 ] }, "qpi_io0": { "direction": "inout", "bits": [ 5 ] }, "qpi_io1": { "direction": "inout", "bits": [ 6 ] }, "qpi_io2": { "direction": "inout", "bits": [ 7 ] }, "qpi_io3": { "direction": "inout", "bits": [ 8 ] }, "qpi_rdy": { "direction": "output", "bits": [ "1" ] }, "qpi_err": { "direction": "output", "bits": [ "1" ] }, "dbg1": { "direction": "output", "bits": [ 3 ] }, "dbg2": { "direction": "output", "bits": [ 4 ] }, "dbg3": { "direction": "output", "bits": [ 5 ] }, "dbg4": { "direction": "output", "bits": [ 6 ] } }, "cells": { "$abc$24763$auto$blifparse.cc:492:parse_blif$24764": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 10 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 11 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24765": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 13 ], "I2": [ 14 ], "I3": [ 15 ], "O": [ 16 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24766": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 18 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 14 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24767": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 20 ], "I2": [ 21 ], "I3": [ 22 ], "O": [ 15 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24768": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 23 ], "I2": [ 24 ], "I3": [ "0" ], "O": [ 25 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24769": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 27 ], "I2": [ 28 ], "I3": [ "0" ], "O": [ 24 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24770": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 29 ], "I1": [ 30 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 31 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24771": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 33 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 29 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24772": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 34 ], "I1": [ 35 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 32 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24773": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 37 ], "I1": [ 38 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 33 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24774": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 39 ], "I1": [ 40 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 30 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24775": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 41 ], "I1": [ 42 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 43 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24776": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 44 ], "I1": [ 45 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 42 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24777": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 46 ], "I1": [ 47 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 41 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24778": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 48 ], "I1": [ 49 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 50 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24779": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 42 ], "I1": [ 41 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 51 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24780": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 23 ], "I2": [ 52 ], "I3": [ 53 ], "O": [ 28 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24781": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65408 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 50 ], "I1": [ 31 ], "I2": [ 54 ], "I3": [ 55 ], "O": [ 52 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24782": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 56 ], "I1": [ 51 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 55 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24783": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 45311 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 33 ], "I1": [ 32 ], "I2": [ 50 ], "I3": [ 30 ], "O": [ 56 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24784": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 42 ], "I1": [ 41 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 54 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24785": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 57 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 58 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24786": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 20292 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 59 ], "I2": [ 60 ], "I3": [ 61 ], "O": [ 62 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24787": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 63 ], "I1": [ 64 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 60 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24788": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57582 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 65 ], "I1": [ 9 ], "I2": [ 66 ], "I3": [ 67 ], "O": [ 64 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24789": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2816 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 68 ], "I2": [ 69 ], "I3": [ 70 ], "O": [ 67 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24790": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 17 ], "I2": [ 71 ], "I3": [ "0" ], "O": [ 69 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24791": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 72 ], "I2": [ 13 ], "I3": [ "0" ], "O": [ 71 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24792": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 21 ], "I2": [ 22 ], "I3": [ 20 ], "O": [ 72 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24793": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 20224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 18 ], "I2": [ 13 ], "I3": [ 72 ], "O": [ 68 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24794": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 73 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 70 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24795": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 65 ], "I1": [ 74 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 73 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24796": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 72 ], "I2": [ 14 ], "I3": [ 13 ], "O": [ 66 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24797": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 75 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 63 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24798": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 65 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 75 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24799": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 70 ], "I1": [ 76 ], "I2": [ 18 ], "I3": [ "0" ], "O": [ 59 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24800": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 13 ], "I2": [ 72 ], "I3": [ "0" ], "O": [ 76 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24801": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 60 ], "I1": [ 77 ], "I2": [ 78 ], "I3": [ "0" ], "O": [ 79 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24802": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 70 ], "I1": [ 76 ], "I2": [ 14 ], "I3": [ "0" ], "O": [ 78 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24803": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 80 ], "I1": [ 81 ], "I2": [ 82 ], "I3": [ 83 ], "O": [ 84 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24804": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 85 ], "I1": [ 86 ], "I2": [ 87 ], "I3": [ "0" ], "O": [ 82 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24805": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 88 ], "I1": [ 63 ], "I2": [ 64 ], "I3": [ 89 ], "O": [ 90 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24806": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 91 ], "I1": [ 92 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 94 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24807": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 95 ], "I1": [ 96 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 97 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24808": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 98 ], "I1": [ 99 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 100 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24809": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 101 ], "I1": [ 102 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 103 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24810": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 104 ], "I1": [ 105 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 106 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24811": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 107 ], "I1": [ 108 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 109 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24812": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 110 ], "I1": [ 111 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 112 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24813": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 113 ], "I1": [ 114 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 115 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24814": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 116 ], "I1": [ 117 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 118 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24815": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 119 ], "I1": [ 120 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 121 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24816": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 122 ], "I1": [ 123 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 124 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24817": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 125 ], "I1": [ 126 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 127 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24818": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 128 ], "I1": [ 129 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 130 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24819": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 131 ], "I1": [ 132 ], "I2": [ 133 ], "I3": [ "0" ], "O": [ 134 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24820": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 136 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 138 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24821": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 139 ], "I1": [ 140 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 135 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24822": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 141 ], "I1": [ 142 ], "I2": [ 143 ], "I3": [ "0" ], "O": [ 139 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24823": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 145 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 142 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24824": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 239 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 146 ], "I1": [ 147 ], "I2": [ 148 ], "I3": [ "0" ], "O": [ 144 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24825": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 149 ], "I1": [ 150 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 148 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24826": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 254 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 151 ], "I1": [ 152 ], "I2": [ 153 ], "I3": [ 154 ], "O": [ 145 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24827": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 156 ], "I3": [ 157 ], "O": [ 143 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24828": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 145 ], "I1": [ 158 ], "I2": [ 159 ], "I3": [ 160 ], "O": [ 155 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24829": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 161 ], "I1": [ 148 ], "I2": [ 162 ], "I3": [ "0" ], "O": [ 157 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24830": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 163 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 164 ], "O": [ 162 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24831": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 165 ], "I1": [ 142 ], "I2": [ 166 ], "I3": [ "0" ], "O": [ 140 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24832": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 167 ], "I3": [ 168 ], "O": [ 166 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24833": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 169 ], "I1": [ 148 ], "I2": [ 170 ], "I3": [ "0" ], "O": [ 168 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24834": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 171 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 172 ], "O": [ 170 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24835": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 153 ], "I1": [ 142 ], "I2": [ 173 ], "I3": [ "0" ], "O": [ 136 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24836": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 150 ], "I1": [ 149 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 173 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24837": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1472 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 175 ], "I1": [ 176 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 177 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24838": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3003 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 149 ], "I2": [ 142 ], "I3": [ 152 ], "O": [ 175 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24839": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 150 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 176 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24840": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 138 ], "I1": [ 177 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 178 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24841": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 136 ], "I1": [ 137 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 179 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24842": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 180 ], "I1": [ 135 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 181 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24843": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 176 ], "I1": [ 175 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 180 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24844": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 179 ], "I1": [ 181 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 182 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24845": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 136 ], "I1": [ 183 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 184 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24846": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 183 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24847": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 12298 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 176 ], "I1": [ 175 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 185 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24848": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 184 ], "I1": [ 185 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 186 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24849": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 136 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 187 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24850": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 180 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 188 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24851": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 187 ], "I1": [ 188 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 189 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24852": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 190 ], "I1": [ 191 ], "I2": [ 11 ], "I3": [ "0" ], "O": [ 192 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24853": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 194 ], "I2": [ 159 ], "I3": [ 160 ], "O": [ 190 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24854": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 195 ], "I1": [ 196 ], "I2": [ 197 ], "I3": [ 198 ], "O": [ 193 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24855": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 199 ], "I1": [ 200 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 197 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24856": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 201 ], "I1": [ 202 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 196 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24857": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 198 ], "I1": [ 196 ], "I2": [ 195 ], "I3": [ 197 ], "O": [ 194 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24858": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 190 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 203 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24859": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 33023 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 160 ], "I1": [ 204 ], "I2": [ 159 ], "I3": [ 11 ], "O": [ 205 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24860": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 206 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 204 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24861": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 207 ], "I1": [ 208 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 206 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24862": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 209 ], "I1": [ 210 ], "I2": [ 211 ], "I3": [ 212 ], "O": [ 207 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24863": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 213 ], "I1": [ 214 ], "I2": [ 215 ], "I3": [ 216 ], "O": [ 208 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24864": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16639 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 204 ], "I1": [ 159 ], "I2": [ 160 ], "I3": [ 11 ], "O": [ 217 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24865": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 218 ], "I1": [ 217 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 219 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24866": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 194 ], "I2": [ 11 ], "I3": [ "0" ], "O": [ 218 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24867": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 220 ], "I1": [ 160 ], "I2": [ 221 ], "I3": [ "0" ], "O": [ 222 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24868": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63743 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 160 ], "I1": [ 159 ], "I2": [ 222 ], "I3": [ 11 ], "O": [ 223 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24869": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 24 ], "I1": [ 224 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 225 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24870": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 225 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 226 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24871": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 227 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 228 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24872": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 229 ], "I1": [ 230 ], "I2": [ 231 ], "I3": [ "0" ], "O": [ 227 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24873": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 232 ], "I1": [ 233 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 230 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24874": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 121 ], "I1": [ 127 ], "I2": [ 130 ], "I3": [ 234 ], "O": [ 232 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24875": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 235 ], "I1": [ 236 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 234 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24876": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 237 ], "I1": [ 238 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 233 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24877": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 94 ], "I1": [ 97 ], "I2": [ 239 ], "I3": [ 240 ], "O": [ 229 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24878": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 112 ], "I1": [ 115 ], "I2": [ 118 ], "I3": [ 241 ], "O": [ 239 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24879": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 242 ], "I1": [ 243 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 241 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24880": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 100 ], "I1": [ 103 ], "I2": [ 106 ], "I3": [ 109 ], "O": [ 240 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24881": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 244 ], "I1": [ 93 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 231 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24882": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 227 ], "I1": [ 245 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 246 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24883": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 191 ], "I1": [ 247 ], "I2": [ 248 ], "I3": [ 249 ], "O": [ 250 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24884": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 251 ], "I1": [ 252 ], "I2": [ 253 ], "I3": [ "0" ], "O": [ 254 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24885": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 225 ], "I1": [ 231 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 253 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24886": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 230 ], "I1": [ 124 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 252 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24887": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 252 ], "I1": [ 253 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 255 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24888": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 252 ], "I2": [ 253 ], "I3": [ "0" ], "O": [ 256 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24889": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 154 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 257 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24890": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 258 ], "I1": [ 259 ], "I2": [ 257 ], "I3": [ "0" ], "O": [ 260 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24891": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36864 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 261 ], "I1": [ 262 ], "I2": [ 263 ], "I3": [ 264 ], "O": [ 258 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24892": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 265 ], "I1": [ 266 ], "I2": [ 267 ], "I3": [ 268 ], "O": [ 263 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24893": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 269 ], "I1": [ 270 ], "I2": [ 271 ], "I3": [ 272 ], "O": [ 265 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24894": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 273 ], "I1": [ 274 ], "I2": [ 275 ], "I3": [ 276 ], "O": [ 266 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24895": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 277 ], "I1": [ 278 ], "I2": [ 279 ], "I3": [ 280 ], "O": [ 267 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24896": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 281 ], "I1": [ 282 ], "I2": [ 283 ], "I3": [ 284 ], "O": [ 268 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24897": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 285 ], "I1": [ 286 ], "I2": [ 287 ], "I3": [ 288 ], "O": [ 264 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24898": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 289 ], "I2": [ 151 ], "I3": [ 290 ], "O": [ 259 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24899": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4351 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 291 ], "I2": [ 292 ], "I3": [ 257 ], "O": [ 293 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24900": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 254 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 258 ], "I1": [ 152 ], "I2": [ 153 ], "I3": [ "0" ], "O": [ 291 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24901": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 291 ], "I1": [ 73 ], "I2": [ 154 ], "I3": [ 292 ], "O": [ 294 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24902": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 75 ], "I1": [ 88 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 295 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24903": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 75 ], "I1": [ 89 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 296 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24904": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 297 ], "I1": [ 298 ], "I2": [ 75 ], "I3": [ "0" ], "O": [ 299 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24905": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 300 ], "I1": [ 301 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 302 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24906": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 239 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 303 ], "I1": [ 9 ], "I2": [ 304 ], "I3": [ "0" ], "O": [ 300 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24907": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 305 ], "I1": [ 306 ], "I2": [ 301 ], "I3": [ "0" ], "O": [ 303 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24908": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 307 ], "I1": [ 9 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 308 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24909": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 309 ], "I1": [ 310 ], "I2": [ 311 ], "I3": [ "0" ], "O": [ 307 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24910": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65296 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 310 ], "I1": [ 309 ], "I2": [ 311 ], "I3": [ 9 ], "O": [ 312 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24911": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 50 ], "I1": [ 313 ], "I2": [ 54 ], "I3": [ 25 ], "O": [ 314 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24912": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 33 ], "I1": [ 30 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 313 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24913": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 315 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 316 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24914": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 317 ], "I1": [ 318 ], "I2": [ 316 ], "I3": [ "0" ], "O": [ 319 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24915": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 320 ], "I1": [ 321 ], "I2": [ 322 ], "I3": [ 323 ], "O": [ 318 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24916": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 324 ], "I1": [ 325 ], "I2": [ 326 ], "I3": [ "0" ], "O": [ 327 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24917": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 328 ], "I1": [ 329 ], "I2": [ 58 ], "I3": [ 330 ], "O": [ 326 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24918": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 331 ], "I1": [ 332 ], "I2": [ 333 ], "I3": [ "0" ], "O": [ 334 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24919": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 335 ], "I1": [ 336 ], "I2": [ 337 ], "I3": [ "0" ], "O": [ 332 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24920": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 338 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 339 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24921": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 340 ], "I1": [ 341 ], "I2": [ 339 ], "I3": [ "0" ], "O": [ 342 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24922": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 343 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 341 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24923": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 337 ], "I1": [ 333 ], "I2": [ 335 ], "I3": [ 331 ], "O": [ 343 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24924": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62207 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 331 ], "I2": [ 335 ], "I3": [ 337 ], "O": [ 340 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24925": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 344 ], "I1": [ 345 ], "I2": [ 339 ], "I3": [ "0" ], "O": [ 346 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24926": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 343 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 345 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24927": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62207 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 331 ], "I2": [ 335 ], "I3": [ 337 ], "O": [ 344 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24928": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 331 ], "I1": [ 348 ], "I2": [ 333 ], "I3": [ "0" ], "O": [ 349 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24929": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 335 ], "I1": [ 347 ], "I2": [ 337 ], "I3": [ "0" ], "O": [ 348 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24930": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 350 ], "I1": [ 351 ], "I2": [ 352 ], "I3": [ "0" ], "O": [ 353 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24931": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 354 ], "I1": [ 355 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 352 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24932": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 356 ], "I1": [ 357 ], "I2": [ 358 ], "I3": [ "0" ], "O": [ 351 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24933": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28671 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 359 ], "I1": [ 360 ], "I2": [ 361 ], "I3": [ 362 ], "O": [ 363 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24934": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 364 ], "I1": [ 191 ], "I2": [ 365 ], "I3": [ 366 ], "O": [ 361 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24935": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 367 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 364 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24936": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 247 ], "I1": [ 368 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 367 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24937": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 369 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 365 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24938": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 370 ], "I1": [ 371 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 369 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24939": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36864 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 372 ], "I1": [ 373 ], "I2": [ 374 ], "I3": [ 375 ], "O": [ 362 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24940": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 376 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 372 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24941": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 120 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 246 ], "I1": [ 247 ], "I2": [ 377 ], "I3": [ "0" ], "O": [ 376 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24942": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 378 ], "I1": [ 379 ], "I2": [ 380 ], "I3": [ 381 ], "O": [ 375 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24943": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 382 ], "I1": [ 383 ], "I2": [ 246 ], "I3": [ 11 ], "O": [ 378 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24944": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 384 ], "I1": [ 385 ], "I2": [ 246 ], "I3": [ 11 ], "O": [ 380 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24945": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 386 ], "I1": [ 249 ], "I2": [ 387 ], "I3": [ 388 ], "O": [ 374 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24946": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 248 ], "I1": [ 389 ], "I2": [ 246 ], "I3": [ 11 ], "O": [ 386 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24947": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 390 ], "I1": [ 391 ], "I2": [ 246 ], "I3": [ 11 ], "O": [ 387 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24948": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 392 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 359 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24949": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 393 ], "I1": [ 394 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 392 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24950": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 70 ], "I1": [ 66 ], "I2": [ 64 ], "I3": [ 395 ], "O": [ 396 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24951": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64048 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 298 ], "I1": [ 64 ], "I2": [ 292 ], "I3": [ 63 ], "O": [ 397 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24952": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 127 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 398 ], "I1": [ 399 ], "I2": [ 400 ], "I3": [ "0" ], "O": [ 401 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24953": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36864 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 402 ], "I1": [ 403 ], "I2": [ 404 ], "I3": [ 405 ], "O": [ 398 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24954": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 406 ], "I1": [ 407 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 404 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24955": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 408 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 406 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24956": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 209 ], "I2": [ 410 ], "I3": [ "0" ], "O": [ 408 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24957": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 412 ], "I2": [ 413 ], "I3": [ 407 ], "O": [ 410 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24958": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 194 ], "I1": [ 159 ], "I2": [ 160 ], "I3": [ "0" ], "O": [ 413 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24959": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 206 ], "I1": [ 193 ], "I2": [ 159 ], "I3": [ 160 ], "O": [ 411 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24960": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57344 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 194 ], "I1": [ 193 ], "I2": [ 159 ], "I3": [ 160 ], "O": [ 409 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24961": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 414 ], "I1": [ 415 ], "I2": [ 416 ], "I3": [ 417 ], "O": [ 405 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24962": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 418 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 414 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24963": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 213 ], "I2": [ 419 ], "I3": [ "0" ], "O": [ 418 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24964": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 420 ], "I2": [ 413 ], "I3": [ 415 ], "O": [ 419 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24965": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 421 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 417 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24966": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 214 ], "I2": [ 422 ], "I3": [ "0" ], "O": [ 421 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24967": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 211 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 413 ], "I1": [ 411 ], "I2": [ 416 ], "I3": [ "0" ], "O": [ 422 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24968": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 213 ], "I1": [ 214 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 416 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24969": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 423 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 402 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24970": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 424 ], "I2": [ 425 ], "I3": [ "0" ], "O": [ 423 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24971": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3003 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 210 ], "I2": [ 413 ], "I3": [ 403 ], "O": [ 425 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24972": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 426 ], "I1": [ 427 ], "I2": [ 428 ], "I3": [ 429 ], "O": [ 399 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24973": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 430 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 426 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24974": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 216 ], "I2": [ 431 ], "I3": [ "0" ], "O": [ 430 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24975": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 432 ], "I2": [ 413 ], "I3": [ 427 ], "O": [ 431 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24976": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 433 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 428 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24977": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 211 ], "I2": [ 434 ], "I3": [ "0" ], "O": [ 433 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24978": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 435 ], "I2": [ 413 ], "I3": [ 429 ], "O": [ 434 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24979": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 436 ], "I1": [ 437 ], "I2": [ 438 ], "I3": [ 439 ], "O": [ 400 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24980": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 440 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 436 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24981": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 215 ], "I2": [ 441 ], "I3": [ "0" ], "O": [ 440 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24982": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 442 ], "I2": [ 413 ], "I3": [ 437 ], "O": [ 441 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24983": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 443 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 438 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24984": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 411 ], "I1": [ 444 ], "I2": [ 445 ], "I3": [ "0" ], "O": [ 443 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24985": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3003 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 409 ], "I1": [ 212 ], "I2": [ 413 ], "I3": [ 439 ], "O": [ 445 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24986": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 446 ], "I1": [ 63 ], "I2": [ 64 ], "I3": [ 298 ], "O": [ 447 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24987": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 448 ], "I1": [ 63 ], "I2": [ 64 ], "I3": [ 297 ], "O": [ 449 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24988": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 60 ], "I1": [ 450 ], "I2": [ 451 ], "I3": [ "0" ], "O": [ 452 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24989": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 76 ], "I2": [ 17 ], "I3": [ 70 ], "O": [ 451 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24990": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 59 ], "I1": [ 17 ], "I2": [ 64 ], "I3": [ 453 ], "O": [ 454 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24991": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 70 ], "I1": [ 69 ], "I2": [ 64 ], "I3": [ 88 ], "O": [ 455 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24992": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64048 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 297 ], "I1": [ 64 ], "I2": [ 290 ], "I3": [ 63 ], "O": [ 456 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24993": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 330 ], "I1": [ 457 ], "I2": [ 458 ], "I3": [ "0" ], "O": [ 459 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24994": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57331 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 324 ], "I1": [ 329 ], "I2": [ 328 ], "I3": [ 325 ], "O": [ 458 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24995": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 453 ], "I1": [ 63 ], "I2": [ 64 ], "I3": [ 446 ], "O": [ 460 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24996": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36744 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 395 ], "I1": [ 63 ], "I2": [ 64 ], "I3": [ 448 ], "O": [ 461 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24997": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28671 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 462 ], "I1": [ 279 ], "I2": [ 463 ], "I3": [ 464 ], "O": [ 465 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24998": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 466 ], "I1": [ 467 ], "I2": [ 468 ], "I3": [ 469 ], "O": [ 463 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$24999": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4097 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 470 ], "I1": [ 471 ], "I2": [ 472 ], "I3": [ 271 ], "O": [ 468 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25000": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 473 ], "I1": [ 474 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 472 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25001": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 477 ], "I2": [ 478 ], "I3": [ 271 ], "O": [ 474 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25002": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3584 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 450 ], "I1": [ 74 ], "I2": [ 479 ], "I3": [ 65 ], "O": [ 478 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25003": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2816 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 14 ], "I1": [ 76 ], "I2": [ 66 ], "I3": [ 74 ], "O": [ 479 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25004": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 75 ], "I1": [ 450 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 476 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25005": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 480 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 475 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25006": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 481 ], "I1": [ 482 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 480 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25007": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 154 ], "I1": [ 292 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 481 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25008": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 289 ], "I1": [ 290 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 482 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25009": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30479 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 483 ], "I2": [ 484 ], "I3": [ 480 ], "O": [ 473 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25010": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 485 ], "I1": [ 273 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 470 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25011": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 478 ], "I1": [ 273 ], "I2": [ 486 ], "I3": [ 487 ], "O": [ 485 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25012": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 488 ], "I1": [ 476 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 487 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25013": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 489 ], "I1": [ 61 ], "I2": [ 490 ], "I3": [ 480 ], "O": [ 486 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25014": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 269 ], "I1": [ 273 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 490 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25015": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 491 ], "I1": [ 261 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 471 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25016": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 478 ], "I1": [ 261 ], "I2": [ 492 ], "I3": [ 493 ], "O": [ 491 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25017": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 494 ], "I1": [ 476 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 493 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25018": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 495 ], "I1": [ 61 ], "I2": [ 496 ], "I3": [ 480 ], "O": [ 492 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25019": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 497 ], "I1": [ 275 ], "I2": [ 281 ], "I3": [ 498 ], "O": [ 469 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25020": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 499 ], "I1": [ 500 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 497 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25021": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 501 ], "I2": [ 478 ], "I3": [ 275 ], "O": [ 500 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25022": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30479 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 502 ], "I2": [ 503 ], "I3": [ 480 ], "O": [ 499 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25023": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 504 ], "I1": [ 505 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 498 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25024": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 506 ], "I2": [ 478 ], "I3": [ 281 ], "O": [ 505 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25025": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30479 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 507 ], "I2": [ 508 ], "I3": [ 480 ], "O": [ 504 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25026": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 509 ], "I1": [ 269 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 466 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25027": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4351 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 510 ], "I1": [ 475 ], "I2": [ 269 ], "I3": [ 511 ], "O": [ 509 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25028": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 277 ], "I1": [ 74 ], "I2": [ 478 ], "I3": [ "0" ], "O": [ 510 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25029": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 241 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 512 ], "I1": [ 513 ], "I2": [ 269 ], "I3": [ 514 ], "O": [ 511 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25030": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 515 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 513 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25031": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 277 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 515 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25032": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 480 ], "I1": [ 73 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 512 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25033": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 480 ], "I2": [ 61 ], "I3": [ 516 ], "O": [ 514 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25034": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 517 ], "I1": [ 283 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 467 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25035": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 518 ], "I1": [ 519 ], "I2": [ 475 ], "I3": [ "0" ], "O": [ 517 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25036": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 520 ], "I2": [ 478 ], "I3": [ 283 ], "O": [ 519 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25037": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30479 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 521 ], "I2": [ 522 ], "I3": [ 480 ], "O": [ 518 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25038": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62475 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 523 ], "I1": [ 73 ], "I2": [ 524 ], "I3": [ 285 ], "O": [ 464 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25039": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 525 ], "I1": [ 526 ], "I2": [ 73 ], "I3": [ 480 ], "O": [ 524 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25040": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 527 ], "I1": [ 523 ], "I2": [ 481 ], "I3": [ 61 ], "O": [ 525 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25041": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 528 ], "I2": [ 478 ], "I3": [ 285 ], "O": [ 523 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25042": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 529 ], "I2": [ 478 ], "I3": [ 279 ], "O": [ 530 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25043": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 83 ], "I1": [ 82 ], "I2": [ 80 ], "I3": [ 81 ], "O": [ 531 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25044": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32767 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 532 ], "I1": [ 533 ], "I2": [ 534 ], "I3": [ 535 ], "O": [ 536 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25045": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 537 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 538 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25046": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 539 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 540 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25047": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 541 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 542 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25048": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 24 ], "I1": [ 224 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 543 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25049": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 544 ], "I1": [ 227 ], "I2": [ 9 ], "I3": [ "0" ], "O": [ 545 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25050": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 245 ], "I1": [ 224 ], "I2": [ 10 ], "I3": [ 221 ], "O": [ 544 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25051": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 239 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 57 ], "I1": [ 539 ], "I2": [ 546 ], "I3": [ "0" ], "O": [ 547 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25052": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 338 ], "I1": [ 355 ], "I2": [ 548 ], "I3": [ 549 ], "O": [ 546 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25053": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 23 ], "I1": [ 541 ], "I2": [ 315 ], "I3": [ 537 ], "O": [ 549 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25054": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 154 ], "I1": [ 151 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 550 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25055": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 551 ], "I1": [ 310 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 552 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25056": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 355 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 553 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25057": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 554 ], "I1": [ 555 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 557 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25058": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 558 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 559 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25059": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 560 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 561 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25060": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 562 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 563 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25061": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 564 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 565 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25062": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 566 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 567 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25063": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 568 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 569 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25064": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 570 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 571 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25065": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 572 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 573 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25066": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 574 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 575 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25067": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 576 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 577 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25068": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 578 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 579 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25069": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 580 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 581 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25070": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 582 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 583 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25071": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 584 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 585 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25072": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 586 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 587 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25073": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 588 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 589 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25074": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 590 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 591 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25075": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 593 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 594 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25076": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 595 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 596 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25077": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 597 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 598 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25078": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 599 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 600 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25079": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 601 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 602 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25080": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 603 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 604 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25081": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 605 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 606 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25082": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 607 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 608 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25083": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ 609 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 610 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25084": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 611 ], "I1": [ 612 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 613 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25085": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 614 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 615 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25086": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 616 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 617 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25087": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 618 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 619 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25088": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 620 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 621 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25089": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 622 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 623 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25090": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 624 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 625 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25091": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 626 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 627 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25092": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 628 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 629 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25093": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 630 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 631 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25094": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 632 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 633 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25095": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 634 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 635 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25096": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 636 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 637 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25097": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 638 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 639 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25098": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 640 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 641 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25099": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 642 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 643 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25100": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 644 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 645 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25101": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 646 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 647 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25102": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 648 ], "I1": [ 649 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 650 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25103": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 651 ], "I1": [ 652 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 653 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25104": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 654 ], "I1": [ 655 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 656 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25105": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 657 ], "I1": [ 658 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 659 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25106": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 660 ], "I1": [ 661 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 662 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25107": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 663 ], "I1": [ 664 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 665 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25108": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 666 ], "I1": [ 667 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 668 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25109": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 669 ], "I1": [ 670 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 671 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25110": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 672 ], "I1": [ 673 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 674 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25111": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 675 ], "I1": [ 676 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 677 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25112": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 678 ], "I1": [ 679 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 680 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25113": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 681 ], "I1": [ 682 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 683 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25114": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 684 ], "I1": [ 685 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 686 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25115": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 687 ], "I1": [ 688 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 689 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25116": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 690 ], "I1": [ 691 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 692 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25117": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 693 ], "I1": [ 694 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 695 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25118": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 696 ], "I1": [ 697 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 698 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25119": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 699 ], "I1": [ 700 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 701 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25120": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 702 ], "I1": [ 703 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 704 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25121": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 705 ], "I1": [ 706 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 707 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25122": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 708 ], "I1": [ 709 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 710 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25123": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 711 ], "I1": [ 712 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 713 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25124": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 714 ], "I1": [ 715 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 716 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25125": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 717 ], "I1": [ 718 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 719 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25126": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 720 ], "I1": [ 721 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 722 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25127": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 723 ], "I1": [ 724 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 725 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25128": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 726 ], "I1": [ 727 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 728 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25129": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 729 ], "I1": [ 730 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 731 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25130": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 732 ], "I1": [ 733 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 734 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25131": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 735 ], "I1": [ 736 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 737 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25132": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 738 ], "I1": [ 739 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 740 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25133": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 741 ], "I1": [ 742 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 743 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25134": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 744 ], "I1": [ 745 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 746 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25135": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 747 ], "I1": [ 748 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 749 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25136": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 750 ], "I1": [ 751 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 752 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25137": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 753 ], "I1": [ 754 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 755 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25138": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 756 ], "I1": [ 757 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 758 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25139": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 759 ], "I1": [ 760 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 761 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25140": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 58 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 762 ], "I1": [ 763 ], "I2": [ 11 ], "I3": [ "0" ], "O": [ 764 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25141": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 58 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 765 ], "I1": [ 766 ], "I2": [ 194 ], "I3": [ "0" ], "O": [ 763 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25142": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 767 ], "I1": [ 768 ], "I2": [ 769 ], "I3": [ "0" ], "O": [ 765 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25143": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 770 ], "I1": [ 771 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 772 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25144": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 773 ], "I1": [ 774 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 775 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25145": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 776 ], "I1": [ 777 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 778 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25146": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 779 ], "I1": [ 780 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 781 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25147": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 782 ], "I1": [ 783 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 784 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25148": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 785 ], "I1": [ 786 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 787 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25149": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 788 ], "I1": [ 789 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 790 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25150": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 791 ], "I1": [ 792 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 793 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25151": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 794 ], "I1": [ 795 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 796 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25152": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 797 ], "I1": [ 798 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 799 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25153": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 800 ], "I1": [ 801 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 802 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25154": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 803 ], "I1": [ 804 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 805 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25155": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 806 ], "I1": [ 807 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 808 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25156": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 809 ], "I1": [ 810 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 811 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25157": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 812 ], "I1": [ 813 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 814 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25158": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 815 ], "I1": [ 816 ], "I2": [ 769 ], "I3": [ 193 ], "O": [ 817 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25159": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 818 ], "I1": [ 819 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 820 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25160": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 820 ], "I1": [ 821 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 822 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25161": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 823 ], "I1": [ 824 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 825 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25162": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 120 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 227 ], "I1": [ 820 ], "I2": [ 825 ], "I3": [ "0" ], "O": [ 826 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25163": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 827 ], "I1": [ 828 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 829 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25164": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 829 ], "I1": [ 830 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 831 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25165": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 832 ], "I1": [ 833 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 834 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25166": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 834 ], "I1": [ 835 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 836 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25167": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 837 ], "I1": [ 838 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 839 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25168": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 839 ], "I1": [ 840 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 841 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25169": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 842 ], "I1": [ 843 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 844 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25170": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 844 ], "I1": [ 845 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 846 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25171": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 847 ], "I1": [ 848 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 849 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25172": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 849 ], "I1": [ 850 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 851 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25173": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 852 ], "I1": [ 853 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 854 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25174": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 854 ], "I1": [ 855 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 856 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25175": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 857 ], "I1": [ 858 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 859 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25176": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 859 ], "I1": [ 860 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 861 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25177": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 241 ], "I1": [ 862 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 863 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25178": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 180 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 241 ], "I1": [ 227 ], "I2": [ 94 ], "I3": [ "0" ], "O": [ 864 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25179": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 97 ], "I1": [ 865 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 866 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25180": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 100 ], "I1": [ 867 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 868 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25181": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 103 ], "I1": [ 869 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 870 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25182": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 106 ], "I1": [ 871 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 872 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25183": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 109 ], "I1": [ 873 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 874 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25184": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 112 ], "I1": [ 875 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 876 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25185": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 115 ], "I1": [ 877 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 878 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25186": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 118 ], "I1": [ 879 ], "I2": [ 227 ], "I3": [ "0" ], "O": [ 880 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25187": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 382 ], "I1": [ 383 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 881 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25188": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 248 ], "I1": [ 389 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 882 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25189": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 390 ], "I1": [ 391 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 883 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25190": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 384 ], "I1": [ 385 ], "I2": [ 246 ], "I3": [ "0" ], "O": [ 884 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25191": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 820 ], "I1": [ 885 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 886 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25192": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 58 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 825 ], "I1": [ 887 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 888 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25193": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 829 ], "I1": [ 889 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 890 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25194": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 834 ], "I1": [ 891 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 892 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25195": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 839 ], "I1": [ 893 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 894 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25196": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 844 ], "I1": [ 895 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 896 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25197": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 849 ], "I1": [ 897 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 898 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25198": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 854 ], "I1": [ 899 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 900 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25199": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 859 ], "I1": [ 901 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 902 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25200": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 241 ], "I1": [ 903 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 904 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25201": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 58 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 97 ], "I1": [ 905 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 906 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25202": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 100 ], "I1": [ 907 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 908 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25203": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 103 ], "I1": [ 909 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 910 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25204": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 106 ], "I1": [ 911 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 912 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25205": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 109 ], "I1": [ 913 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 914 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25206": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 112 ], "I1": [ 915 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 916 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25207": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 115 ], "I1": [ 917 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 918 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25208": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 118 ], "I1": [ 919 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 920 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25209": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 921 ], "I1": [ 922 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 923 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25210": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 923 ], "I1": [ 924 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 925 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25211": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 926 ], "I1": [ 927 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 928 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25212": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 928 ], "I1": [ 929 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 930 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25213": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 931 ], "I1": [ 932 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 933 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25214": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 933 ], "I1": [ 934 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 935 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25215": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 936 ], "I1": [ 937 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 938 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25216": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 938 ], "I1": [ 939 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 940 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25217": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 941 ], "I1": [ 942 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 943 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25218": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 943 ], "I1": [ 944 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 945 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25219": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 946 ], "I1": [ 947 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 948 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25220": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 948 ], "I1": [ 949 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 950 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25221": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 951 ], "I1": [ 952 ], "I2": [ 93 ], "I3": [ "0" ], "O": [ 953 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25222": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 953 ], "I1": [ 954 ], "I2": [ 252 ], "I3": [ "0" ], "O": [ 955 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25223": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 956 ], "I1": [ 957 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 958 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25224": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 959 ], "I1": [ 960 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 961 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25225": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 962 ], "I1": [ 963 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 964 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25226": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 965 ], "I1": [ 966 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 967 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25227": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 968 ], "I1": [ 969 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 970 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25228": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 971 ], "I1": [ 972 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 973 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25229": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 974 ], "I2": [ 975 ], "I3": [ "0" ], "O": [ 976 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25230": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 977 ], "I3": [ 978 ], "O": [ 975 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25231": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 979 ], "I1": [ 148 ], "I2": [ 980 ], "I3": [ "0" ], "O": [ 978 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25232": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 981 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 982 ], "O": [ 980 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25233": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 983 ], "I2": [ 984 ], "I3": [ "0" ], "O": [ 985 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25234": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 986 ], "I3": [ 987 ], "O": [ 984 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25235": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 988 ], "I1": [ 148 ], "I2": [ 989 ], "I3": [ "0" ], "O": [ 987 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25236": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 990 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 991 ], "O": [ 989 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25237": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 992 ], "I2": [ 993 ], "I3": [ "0" ], "O": [ 994 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25238": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 995 ], "I3": [ 996 ], "O": [ 993 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25239": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 997 ], "I1": [ 148 ], "I2": [ 998 ], "I3": [ "0" ], "O": [ 996 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25240": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 999 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1000 ], "O": [ 998 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25241": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1001 ], "I2": [ 1002 ], "I3": [ "0" ], "O": [ 1003 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25242": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1004 ], "I3": [ 1005 ], "O": [ 1002 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25243": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1006 ], "I1": [ 148 ], "I2": [ 1007 ], "I3": [ "0" ], "O": [ 1005 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25244": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1008 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1009 ], "O": [ 1007 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25245": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1010 ], "I2": [ 1011 ], "I3": [ "0" ], "O": [ 1012 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25246": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1013 ], "I3": [ 1014 ], "O": [ 1011 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25247": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1015 ], "I1": [ 148 ], "I2": [ 1016 ], "I3": [ "0" ], "O": [ 1014 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25248": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1017 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1018 ], "O": [ 1016 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25249": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1019 ], "I2": [ 1020 ], "I3": [ "0" ], "O": [ 1021 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25250": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1022 ], "I3": [ 1023 ], "O": [ 1020 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25251": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1024 ], "I1": [ 148 ], "I2": [ 1025 ], "I3": [ "0" ], "O": [ 1023 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25252": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1026 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1027 ], "O": [ 1025 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25253": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1028 ], "I2": [ 1029 ], "I3": [ "0" ], "O": [ 1030 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25254": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1031 ], "I3": [ 1032 ], "O": [ 1029 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25255": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1033 ], "I1": [ 148 ], "I2": [ 1034 ], "I3": [ "0" ], "O": [ 1032 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25256": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1035 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1036 ], "O": [ 1034 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25257": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1037 ], "I2": [ 1038 ], "I3": [ "0" ], "O": [ 1039 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25258": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1040 ], "I3": [ 1041 ], "O": [ 1038 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25259": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1042 ], "I1": [ 148 ], "I2": [ 1043 ], "I3": [ "0" ], "O": [ 1041 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25260": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1044 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1045 ], "O": [ 1043 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25261": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1046 ], "I2": [ 1047 ], "I3": [ "0" ], "O": [ 1048 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25262": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1049 ], "I3": [ 1050 ], "O": [ 1047 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25263": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1051 ], "I1": [ 148 ], "I2": [ 1052 ], "I3": [ "0" ], "O": [ 1050 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25264": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1053 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1054 ], "O": [ 1052 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25265": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1055 ], "I2": [ 1056 ], "I3": [ "0" ], "O": [ 1057 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25266": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1058 ], "I3": [ 1059 ], "O": [ 1056 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25267": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1060 ], "I1": [ 148 ], "I2": [ 1061 ], "I3": [ "0" ], "O": [ 1059 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25268": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1062 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1063 ], "O": [ 1061 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25269": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1064 ], "I2": [ 1065 ], "I3": [ "0" ], "O": [ 1066 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25270": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1067 ], "I3": [ 1068 ], "O": [ 1065 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25271": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1069 ], "I1": [ 148 ], "I2": [ 1070 ], "I3": [ "0" ], "O": [ 1068 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25272": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1071 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1072 ], "O": [ 1070 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25273": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1073 ], "I2": [ 1074 ], "I3": [ "0" ], "O": [ 1075 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25274": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1076 ], "I3": [ 1077 ], "O": [ 1074 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25275": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1078 ], "I1": [ 148 ], "I2": [ 1079 ], "I3": [ "0" ], "O": [ 1077 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25276": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1080 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1081 ], "O": [ 1079 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25277": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1082 ], "I2": [ 1083 ], "I3": [ "0" ], "O": [ 1084 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25278": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1085 ], "I3": [ 1086 ], "O": [ 1083 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25279": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1087 ], "I1": [ 148 ], "I2": [ 1088 ], "I3": [ "0" ], "O": [ 1086 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25280": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1089 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1090 ], "O": [ 1088 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25281": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 1091 ], "I2": [ 1092 ], "I3": [ "0" ], "O": [ 1093 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25282": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48896 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 155 ], "I2": [ 1094 ], "I3": [ 1095 ], "O": [ 1092 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25283": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1096 ], "I1": [ 148 ], "I2": [ 1097 ], "I3": [ "0" ], "O": [ 1095 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25284": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1911 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1098 ], "I1": [ 147 ], "I2": [ 146 ], "I3": [ 1099 ], "O": [ 1097 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25285": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1100 ], "I1": [ 1101 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1102 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25286": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1028 ], "I1": [ 17 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1100 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25287": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 448 ], "I1": [ 446 ], "I2": [ 75 ], "I3": [ "0" ], "O": [ 1103 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25288": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1104 ], "I1": [ 1105 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1106 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25289": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1037 ], "I1": [ 18 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1104 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25290": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1107 ], "I1": [ 1108 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1109 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25291": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1046 ], "I1": [ 13 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1107 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25292": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1110 ], "I1": [ 1111 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1112 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25293": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1055 ], "I1": [ 12 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1110 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25294": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1113 ], "I1": [ 1114 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1115 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25295": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1064 ], "I1": [ 19 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1113 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25296": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1116 ], "I1": [ 1117 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1118 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25297": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1073 ], "I1": [ 20 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1116 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25298": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1119 ], "I1": [ 1120 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1121 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25299": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1082 ], "I1": [ 21 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1119 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25300": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1122 ], "I1": [ 1123 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1124 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25301": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1091 ], "I1": [ 22 ], "I2": [ 1103 ], "I3": [ "0" ], "O": [ 1122 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25302": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 89 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1125 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25303": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1126 ], "I1": [ 1127 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1128 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25304": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1129 ], "I1": [ 1130 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1131 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25305": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1132 ], "I1": [ 1133 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1134 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25306": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1135 ], "I1": [ 1136 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1137 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25307": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 1138 ], "I2": [ 478 ], "I3": [ 277 ], "O": [ 1139 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25308": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 523 ], "I1": [ 73 ], "I2": [ 524 ], "I3": [ "0" ], "O": [ 1140 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25309": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28791 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ 1141 ], "I2": [ 478 ], "I3": [ 287 ], "O": [ 1142 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25310": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1143 ], "I1": [ 1144 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1145 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25311": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1146 ], "I1": [ 1147 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1148 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25312": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 165 ], "I1": [ 17 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1146 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25313": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 395 ], "I1": [ 453 ], "I2": [ 75 ], "I3": [ "0" ], "O": [ 1149 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25314": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 15530 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1150 ], "I1": [ 165 ], "I2": [ 141 ], "I3": [ 512 ], "O": [ 1151 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25315": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 141 ], "I1": [ 18 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1150 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25316": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1152 ], "I1": [ 1153 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1154 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25317": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 974 ], "I1": [ 13 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1152 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25318": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1155 ], "I1": [ 1156 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1157 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25319": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 983 ], "I1": [ 12 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1155 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25320": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1158 ], "I1": [ 1159 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1160 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25321": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 992 ], "I1": [ 19 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1158 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25322": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1161 ], "I1": [ 1162 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1163 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25323": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1001 ], "I1": [ 20 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1161 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25324": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1164 ], "I1": [ 1165 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1166 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25325": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1010 ], "I1": [ 21 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1164 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25326": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1167 ], "I1": [ 1168 ], "I2": [ 512 ], "I3": [ "0" ], "O": [ 1169 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25327": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1019 ], "I1": [ 22 ], "I2": [ 1149 ], "I3": [ "0" ], "O": [ 1167 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25328": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1170 ], "I1": [ 1171 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1172 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25329": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1173 ], "I1": [ 1174 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1175 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25330": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1176 ], "I1": [ 1177 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1179 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25331": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1180 ], "I1": [ 1181 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1183 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25332": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1184 ], "I1": [ 1185 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1186 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25333": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1187 ], "I1": [ 1188 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1189 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25334": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1190 ], "I1": [ 1191 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1192 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25335": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1193 ], "I1": [ 1194 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1195 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25336": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1196 ], "I1": [ 1197 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1198 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25337": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1199 ], "I1": [ 1200 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1201 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25338": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1202 ], "I1": [ 1203 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1204 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25339": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1205 ], "I1": [ 1206 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1207 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25340": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1208 ], "I1": [ 1209 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1210 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25341": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1211 ], "I1": [ 1212 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1213 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25342": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1214 ], "I1": [ 1215 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1216 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25343": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1217 ], "I1": [ 1218 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1219 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25344": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1220 ], "I1": [ 1221 ], "I2": [ 1178 ], "I3": [ "0" ], "O": [ 1222 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25345": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1223 ], "I1": [ 1224 ], "I2": [ 1182 ], "I3": [ "0" ], "O": [ 1225 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25346": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 31 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1226 ], "I1": [ 1227 ], "I2": [ 1228 ], "I3": [ 1229 ], "O": [ 1230 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25347": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1231 ], "I1": [ 1232 ], "I2": [ 1233 ], "I3": [ "0" ], "O": [ 1226 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25348": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1234 ], "I1": [ 1235 ], "I2": [ 1236 ], "I3": [ "0" ], "O": [ 1231 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25349": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1237 ], "I1": [ 1238 ], "I2": [ 299 ], "I3": [ "0" ], "O": [ 1235 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25350": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 547 ], "I1": [ 1239 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1238 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25351": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1240 ], "I1": [ 1241 ], "I2": [ 1242 ], "I3": [ 1243 ], "O": [ 1239 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25352": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 76 ], "I1": [ 14 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 1237 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25353": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 77 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1236 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25354": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1238 ], "I1": [ 61 ], "I2": [ 77 ], "I3": [ "0" ], "O": [ 1232 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25355": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1183 ], "I1": [ 1179 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1233 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25356": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1234 ], "I1": [ 1237 ], "I2": [ 73 ], "I3": [ 1235 ], "O": [ 1229 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25357": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 258 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 1228 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25358": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 292 ], "I1": [ 290 ], "I2": [ 303 ], "I3": [ "0" ], "O": [ 1227 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25359": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1244 ], "I2": [ 1245 ], "I3": [ "0" ], "O": [ 1246 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25360": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1247 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1245 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25361": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1244 ], "I2": [ 1248 ], "I3": [ 1232 ], "O": [ 1247 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25362": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1249 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1244 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25363": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1189 ], "I1": [ 1186 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1248 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25364": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1250 ], "I2": [ 1251 ], "I3": [ "0" ], "O": [ 1252 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25365": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1253 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1251 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25366": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1250 ], "I2": [ 1254 ], "I3": [ 1232 ], "O": [ 1253 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25367": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1255 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1250 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25368": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1195 ], "I1": [ 1192 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1254 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25369": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1256 ], "I2": [ 1257 ], "I3": [ "0" ], "O": [ 1258 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25370": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1259 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1257 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25371": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1256 ], "I2": [ 1260 ], "I3": [ 1232 ], "O": [ 1259 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25372": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1261 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1256 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25373": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1201 ], "I1": [ 1198 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1260 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25374": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 31 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1262 ], "I1": [ 1227 ], "I2": [ 1228 ], "I3": [ 1263 ], "O": [ 1264 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25375": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1265 ], "I1": [ 1232 ], "I2": [ 1266 ], "I3": [ "0" ], "O": [ 1262 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25376": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1267 ], "I1": [ 1235 ], "I2": [ 1236 ], "I3": [ "0" ], "O": [ 1265 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25377": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1207 ], "I1": [ 1204 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1266 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25378": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1267 ], "I1": [ 1237 ], "I2": [ 73 ], "I3": [ 1235 ], "O": [ 1263 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25379": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1268 ], "I2": [ 1269 ], "I3": [ "0" ], "O": [ 1270 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25380": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1271 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1269 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25381": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1268 ], "I2": [ 1272 ], "I3": [ 1232 ], "O": [ 1271 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25382": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1273 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1268 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25383": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1213 ], "I1": [ 1210 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1272 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25384": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1274 ], "I2": [ 1275 ], "I3": [ "0" ], "O": [ 1276 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25385": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1277 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1275 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25386": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1274 ], "I2": [ 1278 ], "I3": [ 1232 ], "O": [ 1277 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25387": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1279 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1274 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25388": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1219 ], "I1": [ 1216 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1278 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25389": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 1280 ], "I2": [ 1281 ], "I3": [ "0" ], "O": [ 1282 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25390": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1227 ], "I1": [ 1283 ], "I2": [ 1228 ], "I3": [ "0" ], "O": [ 1281 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25391": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 1280 ], "I2": [ 1284 ], "I3": [ 1232 ], "O": [ 1283 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25392": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1285 ], "I1": [ 1237 ], "I2": [ 1235 ], "I3": [ "0" ], "O": [ 1280 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25393": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1225 ], "I1": [ 1222 ], "I2": [ 277 ], "I3": [ 61 ], "O": [ 1284 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25394": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1286 ], "I1": [ 1287 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1288 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25395": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1289 ], "I1": [ 1237 ], "I2": [ 1290 ], "I3": [ "0" ], "O": [ 1291 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25396": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1236 ], "I1": [ 73 ], "I2": [ 299 ], "I3": [ 1292 ], "O": [ 1289 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25397": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 304 ], "I2": [ 306 ], "I3": [ "0" ], "O": [ 1292 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25398": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 303 ], "I2": [ 61 ], "I3": [ "0" ], "O": [ 1290 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25399": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1293 ], "I1": [ 1294 ], "I2": [ 1295 ], "I3": [ "0" ], "O": [ 1296 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25400": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1297 ], "I1": [ 1298 ], "I2": [ 1295 ], "I3": [ "0" ], "O": [ 1299 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25401": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1300 ], "I1": [ 1301 ], "I2": [ 1295 ], "I3": [ "0" ], "O": [ 1302 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25402": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1303 ], "I1": [ 1304 ], "I2": [ 1295 ], "I3": [ "0" ], "O": [ 1305 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25403": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1306 ], "I1": [ 1307 ], "I2": [ 1295 ], "I3": [ "0" ], "O": [ 1308 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25404": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1295 ], "I1": [ 1309 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1310 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25405": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1234 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1311 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25406": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1249 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1312 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25407": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1255 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1313 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25408": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1261 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1314 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25409": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1267 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1315 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25410": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1273 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1316 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25411": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1279 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1317 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25412": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 1285 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1318 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25413": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 304 ], "I1": [ 301 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1319 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25414": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1320 ], "I1": [ 976 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1322 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25415": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 1323 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1321 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25416": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48960 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 1321 ], "I2": [ 976 ], "I3": [ 985 ], "O": [ 1324 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25417": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1325 ], "I1": [ 994 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1326 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25418": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1327 ], "I1": [ 1003 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1328 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25419": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1329 ], "I1": [ 1012 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1330 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25420": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1331 ], "I1": [ 1021 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1332 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25421": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1333 ], "I1": [ 1030 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1334 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25422": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1335 ], "I1": [ 1039 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1336 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25423": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1337 ], "I1": [ 1048 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1338 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25424": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1339 ], "I1": [ 1057 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1340 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25425": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1341 ], "I1": [ 1066 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1342 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25426": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1343 ], "I1": [ 1075 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1344 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25427": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1345 ], "I1": [ 1084 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1346 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25428": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51916 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1347 ], "I1": [ 1093 ], "I2": [ 137 ], "I3": [ 1321 ], "O": [ 1348 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25429": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 976 ], "I1": [ 1320 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1349 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25430": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 120 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1321 ], "I1": [ 976 ], "I2": [ 985 ], "I3": [ "0" ], "O": [ 1350 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25431": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 994 ], "I1": [ 1325 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1351 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25432": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1003 ], "I1": [ 1327 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1352 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25433": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1012 ], "I1": [ 1329 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1353 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25434": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1021 ], "I1": [ 1331 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1354 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25435": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1030 ], "I1": [ 1333 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1355 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25436": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1039 ], "I1": [ 1335 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1356 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25437": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1048 ], "I1": [ 1337 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1357 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25438": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1057 ], "I1": [ 1339 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1358 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25439": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1066 ], "I1": [ 1341 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1359 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25440": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1075 ], "I1": [ 1343 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1360 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25441": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1084 ], "I1": [ 1345 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1361 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25442": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1093 ], "I1": [ 1347 ], "I2": [ 1321 ], "I3": [ "0" ], "O": [ 1362 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25443": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 976 ], "I1": [ 1320 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1364 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25444": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 1323 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1363 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25445": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61200 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 1323 ], "I2": [ 976 ], "I3": [ 985 ], "O": [ 1365 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25446": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 994 ], "I1": [ 1325 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1366 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25447": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1003 ], "I1": [ 1327 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1367 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25448": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1012 ], "I1": [ 1329 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1368 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25449": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1021 ], "I1": [ 1331 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1369 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25450": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1030 ], "I1": [ 1333 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1370 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25451": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1039 ], "I1": [ 1335 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1371 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25452": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1048 ], "I1": [ 1337 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1372 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25453": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1057 ], "I1": [ 1339 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1373 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25454": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1066 ], "I1": [ 1341 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1374 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25455": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1075 ], "I1": [ 1343 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1375 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25456": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1084 ], "I1": [ 1345 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1376 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25457": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1093 ], "I1": [ 1347 ], "I2": [ 1363 ], "I3": [ "0" ], "O": [ 1377 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25458": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1320 ], "I1": [ 976 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1378 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25459": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 180 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1323 ], "I1": [ 976 ], "I2": [ 985 ], "I3": [ "0" ], "O": [ 1379 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25460": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1325 ], "I1": [ 994 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1380 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25461": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1327 ], "I1": [ 1003 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1381 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25462": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1329 ], "I1": [ 1012 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1382 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25463": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1331 ], "I1": [ 1021 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1383 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25464": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1333 ], "I1": [ 1030 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1384 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25465": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1335 ], "I1": [ 1039 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1385 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25466": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1337 ], "I1": [ 1048 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1386 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25467": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1339 ], "I1": [ 1057 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1387 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25468": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1341 ], "I1": [ 1066 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1388 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25469": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1343 ], "I1": [ 1075 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1389 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25470": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1345 ], "I1": [ 1084 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1390 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25471": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1347 ], "I1": [ 1093 ], "I2": [ 1323 ], "I3": [ "0" ], "O": [ 1391 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25472": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 43 ], "I2": [ 23 ], "I3": [ 31 ], "O": [ 1392 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25473": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 683 ], "I1": [ 1393 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1394 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25474": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 686 ], "I1": [ 1395 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1396 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25475": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 689 ], "I1": [ 1397 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1398 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25476": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 692 ], "I1": [ 1399 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1400 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25477": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 695 ], "I1": [ 1401 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1402 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25478": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 698 ], "I1": [ 1403 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1404 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25479": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 701 ], "I1": [ 1405 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1406 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25480": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 704 ], "I1": [ 1407 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1408 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25481": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 707 ], "I1": [ 1409 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1410 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25482": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 710 ], "I1": [ 1411 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1412 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25483": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 713 ], "I1": [ 1413 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1414 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25484": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 716 ], "I1": [ 1415 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1416 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25485": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 719 ], "I1": [ 1417 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1418 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25486": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 722 ], "I1": [ 1419 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1420 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25487": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 725 ], "I1": [ 1421 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1422 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25488": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 728 ], "I1": [ 1423 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 1424 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25489": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 325 ], "I2": [ 326 ], "I3": [ 324 ], "O": [ 1425 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25490": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 325 ], "I1": [ 457 ], "I2": [ 1426 ], "I3": [ 324 ], "O": [ 1427 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25491": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 330 ], "I1": [ 328 ], "I2": [ 329 ], "I3": [ 58 ], "O": [ 1426 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25492": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 457 ], "I1": [ 325 ], "I2": [ 1426 ], "I3": [ 324 ], "O": [ 1428 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25493": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1429 ], "I1": [ 1430 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1431 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25494": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1432 ], "I1": [ 1433 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 1434 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25495": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1435 ], "I1": [ 1436 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1438 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25496": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1439 ], "I2": [ 195 ], "I3": [ 345 ], "O": [ 1436 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25497": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 331 ], "I1": [ 333 ], "I2": [ 348 ], "I3": [ "0" ], "O": [ 1437 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25498": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61678 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1440 ], "I1": [ 1441 ], "I2": [ 1442 ], "I3": [ 1437 ], "O": [ 1443 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25499": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 96 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1444 ], "I1": [ 1445 ], "I2": [ 1446 ], "I3": [ "0" ], "O": [ 1441 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25500": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1447 ], "I1": [ 1448 ], "I2": [ 1449 ], "I3": [ "0" ], "O": [ 1444 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25501": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1450 ], "I1": [ 1451 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1447 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25502": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1452 ], "I1": [ 1453 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1450 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25503": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1454 ], "I1": [ 1455 ], "I2": [ 1456 ], "I3": [ "0" ], "O": [ 1452 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25504": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1457 ], "I1": [ 1458 ], "I2": [ 1459 ], "I3": [ "0" ], "O": [ 1453 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25505": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1460 ], "I1": [ 1461 ], "I2": [ 1462 ], "I3": [ "0" ], "O": [ 1451 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25506": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 38400 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1454 ], "I1": [ 1455 ], "I2": [ 1456 ], "I3": [ 1463 ], "O": [ 1448 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25507": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1464 ], "I2": [ 1465 ], "I3": [ 1466 ], "O": [ 1463 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25508": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1467 ], "I1": [ 1468 ], "I2": [ 1469 ], "I3": [ "0" ], "O": [ 1449 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25509": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1465 ], "I1": [ 347 ], "I2": [ 1466 ], "I3": [ 1464 ], "O": [ 1467 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25510": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1470 ], "I2": [ 1471 ], "I3": [ 1472 ], "O": [ 1468 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25511": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1473 ], "I1": [ 1474 ], "I2": [ 1475 ], "I3": [ "0" ], "O": [ 1469 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25512": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 345 ], "I1": [ 336 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1446 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25513": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 38400 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1460 ], "I1": [ 1461 ], "I2": [ 1462 ], "I3": [ 1476 ], "O": [ 1445 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25514": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1463 ], "I1": [ 1454 ], "I2": [ 1455 ], "I3": [ 1456 ], "O": [ 1476 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25515": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 345 ], "I1": [ 198 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1440 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25516": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1477 ], "I1": [ 1478 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1479 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25517": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 201 ], "I1": [ 345 ], "I2": [ 1480 ], "I3": [ "0" ], "O": [ 1478 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25518": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 96 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1481 ], "I1": [ 1482 ], "I2": [ 1446 ], "I3": [ "0" ], "O": [ 1480 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25519": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1444 ], "I1": [ 1445 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1481 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25520": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7905 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1483 ], "I1": [ 1484 ], "I2": [ 1485 ], "I3": [ 1486 ], "O": [ 1482 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25521": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1447 ], "I1": [ 1448 ], "I2": [ 1449 ], "I3": [ "0" ], "O": [ 1485 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25522": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1451 ], "I1": [ 1450 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1483 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25523": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1487 ], "I1": [ 1488 ], "I2": [ 1489 ], "I3": [ "0" ], "O": [ 1486 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25524": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1467 ], "I1": [ 1468 ], "I2": [ 1469 ], "I3": [ "0" ], "O": [ 1487 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25525": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1490 ], "I1": [ 1491 ], "I2": [ 1492 ], "I3": [ "0" ], "O": [ 1488 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25526": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1471 ], "I1": [ 347 ], "I2": [ 1472 ], "I3": [ 1470 ], "O": [ 1490 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25527": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1493 ], "I2": [ 1494 ], "I3": [ 1495 ], "O": [ 1491 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25528": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1496 ], "I1": [ 1497 ], "I2": [ 1498 ], "I3": [ "0" ], "O": [ 1492 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25529": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1499 ], "I1": [ 1500 ], "I2": [ 1501 ], "I3": [ "0" ], "O": [ 1489 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25530": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1457 ], "I1": [ 1458 ], "I2": [ 1459 ], "I3": [ "0" ], "O": [ 1499 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25531": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1473 ], "I1": [ 1474 ], "I2": [ 1475 ], "I3": [ "0" ], "O": [ 1500 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25532": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1502 ], "I1": [ 1503 ], "I2": [ 1504 ], "I3": [ "0" ], "O": [ 1501 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25533": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1452 ], "I1": [ 1453 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1484 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25534": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1505 ], "I1": [ 1506 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1507 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25535": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1508 ], "I2": [ 202 ], "I3": [ 345 ], "O": [ 1506 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25536": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1509 ], "I1": [ 1510 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1511 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25537": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1512 ], "I2": [ 199 ], "I3": [ 345 ], "O": [ 1510 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25538": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1513 ], "I1": [ 1514 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1515 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25539": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1516 ], "I2": [ 200 ], "I3": [ 345 ], "O": [ 1514 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25540": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1517 ], "I1": [ 1518 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1519 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25541": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1520 ], "I2": [ 1521 ], "I3": [ 345 ], "O": [ 1518 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25542": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1522 ], "I1": [ 1523 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1524 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25543": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1525 ], "I2": [ 1526 ], "I3": [ 345 ], "O": [ 1523 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25544": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1527 ], "I1": [ 1528 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1529 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25545": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1530 ], "I2": [ 1531 ], "I3": [ 345 ], "O": [ 1528 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25546": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1532 ], "I1": [ 1533 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1534 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25547": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1535 ], "I2": [ 1536 ], "I3": [ 345 ], "O": [ 1533 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25548": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1537 ], "I1": [ 1538 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1539 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25549": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1540 ], "I2": [ 1541 ], "I3": [ 345 ], "O": [ 1538 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25550": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1542 ], "I1": [ 1543 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1544 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25551": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1545 ], "I2": [ 1546 ], "I3": [ 345 ], "O": [ 1543 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25552": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1547 ], "I1": [ 1548 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1549 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25553": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1550 ], "I2": [ 1551 ], "I3": [ 345 ], "O": [ 1548 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25554": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1552 ], "I1": [ 1553 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1554 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25555": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1555 ], "I2": [ 1556 ], "I3": [ 345 ], "O": [ 1553 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25556": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1557 ], "I1": [ 1558 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1559 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25557": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1560 ], "I2": [ 1561 ], "I3": [ 345 ], "O": [ 1558 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25558": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1562 ], "I1": [ 1563 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1564 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25559": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1565 ], "I2": [ 1566 ], "I3": [ 345 ], "O": [ 1563 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25560": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1567 ], "I1": [ 1568 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1569 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25561": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1570 ], "I2": [ 766 ], "I3": [ 345 ], "O": [ 1568 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25562": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1571 ], "I1": [ 1572 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1573 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25563": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1574 ], "I2": [ 1575 ], "I3": [ 345 ], "O": [ 1572 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25564": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1576 ], "I1": [ 1577 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1578 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25565": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1579 ], "I2": [ 1580 ], "I3": [ 345 ], "O": [ 1577 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25566": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1581 ], "I1": [ 1582 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1583 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25567": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1584 ], "I2": [ 1585 ], "I3": [ 345 ], "O": [ 1582 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25568": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1586 ], "I1": [ 1587 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1588 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25569": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1589 ], "I2": [ 1590 ], "I3": [ 345 ], "O": [ 1587 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25570": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1591 ], "I1": [ 1592 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1593 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25571": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1594 ], "I2": [ 1595 ], "I3": [ 345 ], "O": [ 1592 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25572": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1596 ], "I1": [ 1597 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1598 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25573": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1599 ], "I2": [ 1600 ], "I3": [ 345 ], "O": [ 1597 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25574": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1601 ], "I1": [ 1602 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1603 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25575": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1604 ], "I2": [ 1605 ], "I3": [ 345 ], "O": [ 1602 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25576": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1606 ], "I1": [ 1607 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1608 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25577": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1609 ], "I2": [ 1610 ], "I3": [ 345 ], "O": [ 1607 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25578": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1611 ], "I1": [ 1612 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1613 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25579": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1614 ], "I2": [ 1615 ], "I3": [ 345 ], "O": [ 1612 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25580": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1616 ], "I1": [ 1617 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1618 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25581": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1619 ], "I2": [ 1620 ], "I3": [ 345 ], "O": [ 1617 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25582": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1621 ], "I1": [ 1622 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1623 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25583": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1624 ], "I2": [ 1625 ], "I3": [ 345 ], "O": [ 1622 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25584": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1626 ], "I1": [ 1627 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1628 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25585": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1629 ], "I2": [ 1630 ], "I3": [ 345 ], "O": [ 1627 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25586": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1631 ], "I1": [ 1632 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1633 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25587": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1634 ], "I2": [ 1635 ], "I3": [ 345 ], "O": [ 1632 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25588": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1636 ], "I1": [ 1637 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1638 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25589": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1639 ], "I2": [ 1640 ], "I3": [ 345 ], "O": [ 1637 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25590": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 163 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1641 ], "I1": [ 1642 ], "I2": [ 1437 ], "I3": [ "0" ], "O": [ 1643 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25591": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 1644 ], "I2": [ 1645 ], "I3": [ 345 ], "O": [ 1642 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25592": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1646 ], "I1": [ 1647 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1649 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25593": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 331 ], "I1": [ 333 ], "I2": [ 332 ], "I3": [ "0" ], "O": [ 1648 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25594": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1650 ], "I1": [ 1651 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1646 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25595": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1652 ], "I1": [ 1653 ], "I2": [ 1654 ], "I3": [ "0" ], "O": [ 1655 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25596": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1656 ], "I1": [ 1657 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1652 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25597": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1658 ], "I1": [ 1659 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1656 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25598": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1660 ], "I1": [ 1661 ], "I2": [ 1662 ], "I3": [ "0" ], "O": [ 1658 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25599": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1663 ], "I1": [ 1664 ], "I2": [ 1665 ], "I3": [ "0" ], "O": [ 1659 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25600": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1666 ], "I1": [ 1667 ], "I2": [ 1668 ], "I3": [ "0" ], "O": [ 1657 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25601": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 38400 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1660 ], "I1": [ 1661 ], "I2": [ 1662 ], "I3": [ 1669 ], "O": [ 1653 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25602": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1670 ], "I2": [ 1671 ], "I3": [ 1672 ], "O": [ 1669 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25603": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1673 ], "I1": [ 1674 ], "I2": [ 1675 ], "I3": [ "0" ], "O": [ 1654 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25604": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1671 ], "I1": [ 347 ], "I2": [ 1672 ], "I3": [ 1670 ], "O": [ 1673 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25605": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1676 ], "I2": [ 1677 ], "I3": [ 1678 ], "O": [ 1674 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25606": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1679 ], "I1": [ 1680 ], "I2": [ 1681 ], "I3": [ "0" ], "O": [ 1675 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25607": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 38400 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1666 ], "I1": [ 1667 ], "I2": [ 1668 ], "I3": [ 1682 ], "O": [ 1683 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25608": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1669 ], "I1": [ 1660 ], "I2": [ 1661 ], "I3": [ 1662 ], "O": [ 1682 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25609": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1655 ], "I1": [ 1683 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1684 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25610": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7905 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1685 ], "I1": [ 1686 ], "I2": [ 1687 ], "I3": [ 1688 ], "O": [ 1689 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25611": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1652 ], "I1": [ 1653 ], "I2": [ 1654 ], "I3": [ "0" ], "O": [ 1687 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25612": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1657 ], "I1": [ 1656 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1685 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25613": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1690 ], "I1": [ 1691 ], "I2": [ 1692 ], "I3": [ "0" ], "O": [ 1688 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25614": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1673 ], "I1": [ 1674 ], "I2": [ 1675 ], "I3": [ "0" ], "O": [ 1690 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25615": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1693 ], "I1": [ 1694 ], "I2": [ 1695 ], "I3": [ "0" ], "O": [ 1691 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25616": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1677 ], "I1": [ 347 ], "I2": [ 1678 ], "I3": [ 1676 ], "O": [ 1693 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25617": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1696 ], "I2": [ 1697 ], "I3": [ 1698 ], "O": [ 1694 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25618": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1699 ], "I1": [ 1700 ], "I2": [ 1701 ], "I3": [ "0" ], "O": [ 1695 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25619": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1702 ], "I1": [ 1703 ], "I2": [ 1704 ], "I3": [ "0" ], "O": [ 1692 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25620": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1663 ], "I1": [ 1664 ], "I2": [ 1665 ], "I3": [ "0" ], "O": [ 1702 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25621": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1679 ], "I1": [ 1680 ], "I2": [ 1681 ], "I3": [ "0" ], "O": [ 1703 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25622": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1705 ], "I1": [ 1706 ], "I2": [ 1707 ], "I3": [ "0" ], "O": [ 1704 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25623": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1658 ], "I1": [ 1659 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1686 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25624": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1708 ], "I1": [ 1709 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1710 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25625": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1711 ], "I1": [ 1712 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1708 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25626": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1713 ], "I1": [ 1714 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1715 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25627": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1716 ], "I1": [ 1717 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1713 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25628": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1718 ], "I1": [ 1719 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1720 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25629": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1721 ], "I1": [ 1722 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1718 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25630": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1723 ], "I1": [ 1724 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1725 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25631": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1726 ], "I1": [ 1727 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1723 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25632": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1728 ], "I1": [ 1729 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1730 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25633": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1731 ], "I1": [ 1732 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1728 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25634": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1733 ], "I1": [ 1734 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1735 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25635": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1736 ], "I1": [ 1737 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1733 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25636": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1738 ], "I1": [ 1739 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1740 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25637": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1741 ], "I1": [ 1742 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1738 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25638": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1743 ], "I1": [ 1744 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1745 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25639": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1746 ], "I1": [ 1747 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1743 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25640": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1748 ], "I1": [ 1749 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1750 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25641": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1751 ], "I1": [ 1752 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1748 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25642": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1753 ], "I1": [ 1754 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1755 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25643": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1756 ], "I1": [ 1757 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1753 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25644": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1758 ], "I1": [ 1759 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1760 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25645": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1761 ], "I1": [ 1762 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1758 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25646": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1763 ], "I1": [ 1764 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1765 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25647": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1766 ], "I1": [ 1767 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1763 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25648": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1768 ], "I1": [ 1769 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1770 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25649": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1771 ], "I1": [ 1772 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1768 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25650": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1773 ], "I1": [ 1774 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1775 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25651": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1776 ], "I1": [ 1777 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1773 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25652": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1778 ], "I1": [ 1779 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1780 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25653": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1781 ], "I1": [ 1782 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1778 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25654": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1783 ], "I1": [ 1784 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1785 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25655": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1786 ], "I1": [ 1787 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1783 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25656": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1788 ], "I1": [ 1789 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1790 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25657": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1791 ], "I1": [ 1792 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1788 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25658": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1793 ], "I1": [ 1794 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1795 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25659": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1796 ], "I1": [ 1797 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1793 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25660": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1798 ], "I1": [ 1799 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1800 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25661": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1801 ], "I1": [ 1802 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1798 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25662": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1803 ], "I1": [ 1804 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1805 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25663": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1806 ], "I1": [ 1807 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1803 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25664": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1808 ], "I1": [ 1809 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1810 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25665": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1811 ], "I1": [ 1812 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1808 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25666": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1813 ], "I1": [ 1814 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1815 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25667": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1816 ], "I1": [ 1817 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1813 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25668": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1818 ], "I1": [ 1819 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1820 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25669": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1821 ], "I1": [ 1822 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1818 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25670": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1823 ], "I1": [ 1824 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1825 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25671": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1826 ], "I1": [ 1827 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1823 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25672": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1828 ], "I1": [ 1829 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1830 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25673": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1831 ], "I1": [ 1832 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1828 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25674": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1833 ], "I1": [ 1834 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1835 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25675": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1836 ], "I1": [ 1837 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1833 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25676": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1838 ], "I1": [ 1839 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1840 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25677": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1841 ], "I1": [ 1842 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1838 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25678": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1843 ], "I1": [ 1844 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1845 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25679": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1846 ], "I1": [ 1847 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1843 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25680": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1848 ], "I1": [ 1849 ], "I2": [ 1648 ], "I3": [ "0" ], "O": [ 1850 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25681": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62453 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1851 ], "I1": [ 1852 ], "I2": [ 336 ], "I3": [ 343 ], "O": [ 1848 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25682": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1853 ], "I1": [ 1854 ], "I2": [ 1855 ], "I3": [ 1856 ], "O": [ 1857 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25683": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 127 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1858 ], "I1": [ 1859 ], "I2": [ 1860 ], "I3": [ 1861 ], "O": [ 1862 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25684": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1863 ], "I1": [ 1864 ], "I2": [ 1865 ], "I3": [ 1866 ], "O": [ 1858 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25685": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1867 ], "I1": [ 1868 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1865 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25686": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1869 ], "I1": [ 1870 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 1868 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25687": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1873 ], "I1": [ 1874 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1870 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25688": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1876 ], "I1": [ 1877 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1874 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25689": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65024 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1880 ], "I1": [ 1881 ], "I2": [ 1882 ], "I3": [ 1855 ], "O": [ 1878 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25690": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1883 ], "O": [ 1877 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25691": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1884 ], "O": [ 1876 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25692": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1885 ], "I1": [ 1886 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1873 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25693": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1887 ], "O": [ 1886 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25694": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1888 ], "O": [ 1885 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25695": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1889 ], "I1": [ 1890 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1869 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25696": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1891 ], "I1": [ 1892 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1890 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25697": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1893 ], "O": [ 1892 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25698": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1894 ], "O": [ 1891 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25699": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1895 ], "I1": [ 1896 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1889 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25700": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1897 ], "O": [ 1896 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25701": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1898 ], "O": [ 1895 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25702": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1899 ], "I1": [ 1900 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1867 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25703": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1901 ], "I1": [ 1902 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1900 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25704": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1903 ], "I1": [ 1904 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1902 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25705": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1905 ], "O": [ 1904 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25706": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1906 ], "O": [ 1903 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25707": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1907 ], "I1": [ 1908 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1901 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25708": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1909 ], "O": [ 1908 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25709": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1910 ], "O": [ 1907 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25710": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1911 ], "I1": [ 1912 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1899 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25711": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1913 ], "I1": [ 1914 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1912 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25712": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1915 ], "O": [ 1914 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25713": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1916 ], "O": [ 1913 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25714": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1917 ], "I1": [ 1918 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1911 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25715": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1919 ], "O": [ 1918 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25716": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1920 ], "O": [ 1917 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25717": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1921 ], "I1": [ 1922 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1923 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25718": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1924 ], "I1": [ 1925 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1863 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25719": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1926 ], "I1": [ 1927 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 1924 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25720": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1928 ], "I1": [ 1929 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1927 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25721": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1892 ], "I1": [ 1885 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1929 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25722": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1886 ], "I1": [ 1876 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1928 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25723": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1930 ], "I1": [ 1931 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1926 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25724": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1896 ], "I1": [ 1891 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1931 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25725": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1904 ], "I1": [ 1895 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1930 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25726": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1932 ], "I1": [ 1933 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1925 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25727": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1934 ], "I1": [ 1935 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1933 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25728": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1914 ], "I1": [ 1907 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1935 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25729": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1908 ], "I1": [ 1903 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1934 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25730": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1936 ], "I1": [ 1937 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1932 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25731": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1918 ], "I1": [ 1913 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1937 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25732": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13619 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1920 ], "I1": [ 1855 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 1936 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25733": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ "0" ], "O": [ 1938 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25734": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1939 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1864 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25735": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1940 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 1939 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25736": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1936 ], "I1": [ 1937 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1940 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25737": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1941 ], "I1": [ 1942 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1866 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25738": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1943 ], "I1": [ 1944 ], "I2": [ 1855 ], "I3": [ 1871 ], "O": [ 1941 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25739": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1937 ], "I1": [ 1935 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1944 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25740": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1936 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1943 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25741": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1945 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1942 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25742": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1911 ], "I1": [ 1855 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1945 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25743": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1946 ], "I1": [ 1947 ], "I2": [ 1948 ], "I3": [ 1949 ], "O": [ 1859 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25744": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1950 ], "I1": [ 1951 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1946 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25745": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1944 ], "I1": [ 1943 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1951 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25746": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1952 ], "I1": [ 1953 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 1950 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25747": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1931 ], "I1": [ 1929 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1953 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25748": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1934 ], "I1": [ 1930 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1952 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25749": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1945 ], "I1": [ 1954 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1947 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25750": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1955 ], "I1": [ 1956 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 1954 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25751": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1902 ], "I1": [ 1889 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1956 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25752": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1912 ], "I1": [ 1901 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1955 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25753": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1957 ], "I1": [ 1958 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1948 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25754": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1959 ], "I1": [ 1960 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1957 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25755": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1890 ], "I1": [ 1873 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1960 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25756": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1902 ], "I1": [ 1889 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1959 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25757": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1961 ], "I1": [ 1962 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1958 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25758": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1912 ], "I1": [ 1901 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1962 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25759": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1911 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1961 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25760": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1963 ], "I1": [ 1964 ], "I2": [ 1965 ], "I3": [ 1966 ], "O": [ 1949 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25761": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1899 ], "I1": [ 1900 ], "I2": [ 1855 ], "I3": [ 1871 ], "O": [ 1963 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25762": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1967 ], "I1": [ 1855 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 1964 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25763": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1911 ], "I1": [ 1912 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1967 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25764": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1968 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1965 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25765": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1936 ], "I1": [ 1855 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1968 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25766": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1961 ], "I1": [ 1962 ], "I2": [ 1855 ], "I3": [ 1871 ], "O": [ 1966 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25767": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1969 ], "I1": [ 1970 ], "I2": [ 1971 ], "I3": [ 1855 ], "O": [ 1860 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25768": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1939 ], "I1": [ 1972 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 1969 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25769": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1926 ], "I1": [ 1973 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 1972 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25770": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1934 ], "I1": [ 1935 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1973 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25771": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1901 ], "I1": [ 1902 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1974 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25772": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1975 ], "I1": [ 1976 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1971 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25773": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1932 ], "I1": [ 1933 ], "I2": [ 1855 ], "I3": [ 1871 ], "O": [ 1975 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25774": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1977 ], "I1": [ 1978 ], "I2": [ 1968 ], "I3": [ 1871 ], "O": [ 1976 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25775": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1934 ], "I1": [ 1930 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1977 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25776": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1937 ], "I1": [ 1935 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1978 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25777": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1923 ], "I1": [ 1855 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1861 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25778": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 127 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1979 ], "I1": [ 1980 ], "I2": [ 1981 ], "I3": [ 1921 ], "O": [ 1982 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25779": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1864 ], "I1": [ 1983 ], "I2": [ 1984 ], "I3": [ 1985 ], "O": [ 1979 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25780": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1986 ], "I1": [ 1972 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 1984 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25781": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1987 ], "I1": [ 1927 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 1986 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25782": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1988 ], "I1": [ 1989 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 1987 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25783": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1990 ], "I1": [ 1991 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1989 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25784": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1992 ], "O": [ 1991 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25785": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1993 ], "O": [ 1990 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25786": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1994 ], "I1": [ 1877 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 1988 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25787": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 1995 ], "O": [ 1994 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25788": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 45243 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1996 ], "I1": [ 1997 ], "I2": [ 1963 ], "I3": [ 1983 ], "O": [ 1985 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25789": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1869 ], "I1": [ 1870 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 1996 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25790": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61696 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1998 ], "I1": [ 1999 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 1997 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25791": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2000 ], "I1": [ 2001 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 1999 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25792": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2002 ], "I1": [ 1991 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2001 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25793": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2003 ], "O": [ 2002 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25794": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1994 ], "I1": [ 1990 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2000 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25795": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2004 ], "I1": [ 2005 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 1998 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25796": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2006 ], "I1": [ 2007 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2005 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25797": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2008 ], "O": [ 2007 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25798": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2009 ], "O": [ 2006 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25799": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2010 ], "I1": [ 2011 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2004 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25800": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2012 ], "O": [ 2011 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25801": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2013 ], "O": [ 2010 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25802": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1921 ], "I1": [ 1922 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1983 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25803": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2014 ], "I1": [ 2015 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1980 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25804": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 45243 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2016 ], "I1": [ 2017 ], "I2": [ 1966 ], "I3": [ 1983 ], "O": [ 2014 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25805": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2018 ], "I1": [ 2019 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2016 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25806": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2004 ], "I1": [ 2001 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2019 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25807": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2000 ], "I1": [ 1874 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2018 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25808": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61184 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1959 ], "I1": [ 1960 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2017 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25809": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 239 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2020 ], "I1": [ 2021 ], "I2": [ 1923 ], "I3": [ 2022 ], "O": [ 2015 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25810": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 1968 ], "I2": [ 1871 ], "I3": [ 1983 ], "O": [ 2022 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25811": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1977 ], "I1": [ 1978 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2020 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25812": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3082 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2023 ], "I1": [ 1953 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2021 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25813": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1988 ], "I1": [ 1928 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2023 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25814": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2024 ], "I1": [ 2025 ], "I2": [ 2026 ], "I3": [ 2027 ], "O": [ 1981 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25815": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2028 ], "I1": [ 2029 ], "I2": [ 1942 ], "I3": [ 1922 ], "O": [ 2027 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25816": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1955 ], "I1": [ 1956 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2028 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25817": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3082 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2018 ], "I1": [ 2030 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2029 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25818": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1890 ], "I1": [ 1873 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2030 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25819": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2031 ], "I1": [ 2032 ], "I2": [ 1964 ], "I3": [ 1922 ], "O": [ 2024 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25820": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2033 ], "I1": [ 1870 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2031 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25821": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2000 ], "I1": [ 2001 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2033 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25822": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1974 ], "I1": [ 1869 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2032 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25823": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2034 ], "I1": [ 2035 ], "I2": [ 1941 ], "I3": [ 1922 ], "O": [ 2025 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25824": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1952 ], "I1": [ 1953 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2034 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25825": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2036 ], "I1": [ 2023 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2035 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25826": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2037 ], "I1": [ 1989 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2036 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25827": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2010 ], "I1": [ 2002 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2037 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25828": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2038 ], "I1": [ 2039 ], "I2": [ 1975 ], "I3": [ 1922 ], "O": [ 2026 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25829": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2040 ], "I1": [ 1987 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2038 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25830": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2041 ], "I1": [ 2037 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2040 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25831": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2011 ], "I1": [ 2006 ], "I2": [ 1878 ], "I3": [ 1879 ], "O": [ 2041 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25832": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1926 ], "I1": [ 1927 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2039 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25833": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32512 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2042 ], "I1": [ 2043 ], "I2": [ 2044 ], "I3": [ 1923 ], "O": [ 2045 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25834": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1970 ], "I1": [ 1976 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2042 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25835": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1947 ], "I1": [ 2046 ], "I2": [ 2047 ], "I3": [ 1941 ], "O": [ 2043 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25836": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1975 ], "I1": [ 1942 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2046 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25837": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1950 ], "I1": [ 1951 ], "I2": [ 1871 ], "I3": [ 1966 ], "O": [ 2047 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25838": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1969 ], "I1": [ 2048 ], "I2": [ 1964 ], "I3": [ 1965 ], "O": [ 2044 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25839": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1986 ], "I1": [ 1972 ], "I2": [ 1871 ], "I3": [ 1963 ], "O": [ 2048 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25840": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57344 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2026 ], "I1": [ 1921 ], "I2": [ 2014 ], "I3": [ 2049 ], "O": [ 2050 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25841": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 31 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1867 ], "I1": [ 1868 ], "I2": [ 1923 ], "I3": [ 1855 ], "O": [ 2049 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25842": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1923 ], "I1": [ 2051 ], "I2": [ 1985 ], "I3": [ 2015 ], "O": [ 2052 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25843": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1924 ], "I1": [ 1925 ], "I2": [ 1957 ], "I3": [ 1958 ], "O": [ 2051 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25844": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2053 ], "I1": [ 2054 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 1853 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25845": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2055 ], "I1": [ 2056 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2054 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25846": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2057 ], "I1": [ 2058 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2056 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25847": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2059 ], "I1": [ 2060 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2058 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25848": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 2061 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2060 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25849": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 2062 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2059 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25850": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4592 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1878 ], "I1": [ 2007 ], "I2": [ 2063 ], "I3": [ 1879 ], "O": [ 2057 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25851": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 2064 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2063 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25852": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3077 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2065 ], "I1": [ 2066 ], "I2": [ 1878 ], "I3": [ 1875 ], "O": [ 2055 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25853": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21503 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2067 ], "I1": [ 1493 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2066 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25854": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1470 ], "I1": [ 1464 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2065 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25855": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2040 ], "I1": [ 1987 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2053 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25856": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1863 ], "I1": [ 1922 ], "I2": [ 1855 ], "I3": [ 1921 ], "O": [ 1854 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25857": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2068 ], "I1": [ 2069 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2070 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25858": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1878 ], "I1": [ 2071 ], "I2": [ 2072 ], "I3": [ 1875 ], "O": [ 2069 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25859": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2073 ], "I1": [ 2060 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2072 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25860": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1855 ], "I1": [ 2067 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2073 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25861": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21503 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1493 ], "I1": [ 1470 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2071 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25862": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2005 ], "I1": [ 2074 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2068 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25863": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2063 ], "I1": [ 2059 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2074 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25864": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2018 ], "I1": [ 2019 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2075 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25865": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2076 ], "I1": [ 2077 ], "I2": [ 1855 ], "I3": [ 1856 ], "O": [ 2078 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25866": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2079 ], "I1": [ 2080 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2076 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25867": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2081 ], "I1": [ 2082 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2080 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25868": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1878 ], "I1": [ 2066 ], "I2": [ 2058 ], "I3": [ 1875 ], "O": [ 2082 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25869": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2041 ], "I1": [ 2057 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2081 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25870": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2036 ], "I1": [ 2023 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2079 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25871": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1946 ], "I1": [ 1922 ], "I2": [ 1855 ], "I3": [ 1921 ], "O": [ 2077 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25872": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4019 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1921 ], "I1": [ 2083 ], "I2": [ 1855 ], "I3": [ 1856 ], "O": [ 2084 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25873": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 45243 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2085 ], "I1": [ 1923 ], "I2": [ 1970 ], "I3": [ 1983 ], "O": [ 2083 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25874": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2086 ], "I1": [ 2087 ], "I2": [ 2088 ], "I3": [ 1871 ], "O": [ 2085 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25875": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2033 ], "I1": [ 1870 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2088 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25876": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2074 ], "I1": [ 2072 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2086 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25877": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2004 ], "I1": [ 2005 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2087 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25878": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2089 ], "I1": [ 2090 ], "I2": [ 1855 ], "I3": [ 1856 ], "O": [ 2091 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25879": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1986 ], "I1": [ 2092 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2089 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25880": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2040 ], "I1": [ 2056 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2092 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25881": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1969 ], "I1": [ 1922 ], "I2": [ 1855 ], "I3": [ 1921 ], "O": [ 2090 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25882": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2019 ], "I1": [ 2068 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2093 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25883": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2018 ], "I1": [ 2030 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2094 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25884": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2036 ], "I1": [ 2081 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2095 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25885": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2023 ], "I1": [ 1953 ], "I2": [ 1872 ], "I3": [ 1871 ], "O": [ 2096 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25886": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2098 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2101 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25887": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2102 ], "I1": [ 2103 ], "I2": [ 2104 ], "I3": [ "0" ], "O": [ 2099 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25888": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32512 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2105 ], "I1": [ 2106 ], "I2": [ 2107 ], "I3": [ 1923 ], "O": [ 2102 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25889": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2108 ], "I1": [ 2109 ], "I2": [ 2110 ], "I3": [ 2111 ], "O": [ 2105 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25890": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4592 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2112 ], "I1": [ 2113 ], "I2": [ 2114 ], "I3": [ 1871 ], "O": [ 2108 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25891": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2115 ], "I1": [ 2116 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2114 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25892": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2117 ], "I1": [ 2118 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2116 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25893": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2119 ], "I1": [ 2120 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2118 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25894": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65024 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1880 ], "I1": [ 1881 ], "I2": [ 1882 ], "I3": [ 2097 ], "O": [ 2121 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25895": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2122 ], "O": [ 2120 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25896": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2123 ], "O": [ 2119 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25897": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2124 ], "I1": [ 2125 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2117 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25898": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2126 ], "O": [ 2125 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25899": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2127 ], "O": [ 2124 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25900": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2128 ], "I1": [ 2129 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2115 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25901": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2130 ], "I1": [ 2131 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2129 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25902": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2132 ], "O": [ 2131 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25903": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2133 ], "O": [ 2130 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25904": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2134 ], "I1": [ 2135 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2128 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25905": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2136 ], "O": [ 2135 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25906": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2137 ], "O": [ 2134 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25907": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2138 ], "I1": [ 2139 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2112 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25908": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2140 ], "I1": [ 2141 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2139 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25909": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2142 ], "O": [ 2141 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25910": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2143 ], "O": [ 2140 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25911": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2144 ], "I1": [ 2145 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2138 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25912": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2146 ], "O": [ 2145 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25913": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2147 ], "O": [ 2144 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25914": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2148 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2113 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25915": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13619 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2149 ], "I1": [ 2097 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2148 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25916": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2150 ], "I1": [ 2151 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2109 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25917": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2152 ], "I1": [ 2153 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2151 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25918": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2139 ], "I1": [ 2118 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2153 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25919": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2148 ], "I1": [ 2138 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2152 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25920": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2154 ], "I1": [ 2155 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2150 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25921": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2128 ], "I1": [ 2117 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2155 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25922": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2156 ], "I1": [ 2129 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2154 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25923": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2157 ], "I1": [ 2158 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2156 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25924": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2159 ], "O": [ 2158 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25925": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2160 ], "O": [ 2157 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25926": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4592 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2161 ], "I1": [ 2162 ], "I2": [ 2163 ], "I3": [ 1871 ], "O": [ 2110 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25927": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2124 ], "I1": [ 2120 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2164 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25928": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2134 ], "I1": [ 2125 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2165 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25929": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2157 ], "I1": [ 2131 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2166 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25930": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2135 ], "I1": [ 2130 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2167 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25931": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2168 ], "I1": [ 2169 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2161 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25932": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2145 ], "I1": [ 2140 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2169 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25933": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2141 ], "I1": [ 2119 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2168 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25934": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2170 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2162 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25935": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2171 ], "I1": [ 2144 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2170 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25936": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2149 ], "O": [ 2171 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25937": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2162 ], "I1": [ 2161 ], "I2": [ 2097 ], "I3": [ 1871 ], "O": [ 2111 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25938": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2172 ], "I1": [ 2173 ], "I2": [ 2174 ], "I3": [ 2175 ], "O": [ 2106 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25939": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2151 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2172 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25940": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2176 ], "I1": [ 2177 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2173 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25941": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2178 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2177 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25942": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2169 ], "I1": [ 2170 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2178 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25943": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2179 ], "I1": [ 2180 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2176 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25944": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2164 ], "I1": [ 2168 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2180 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25945": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2165 ], "I1": [ 2167 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2179 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25946": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2181 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2174 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25947": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2152 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2181 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25948": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2182 ], "I1": [ 2183 ], "I2": [ 2184 ], "I3": [ 1871 ], "O": [ 2175 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25949": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2170 ], "I1": [ 2097 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2184 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25950": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2165 ], "I1": [ 2164 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2182 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25951": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2168 ], "I1": [ 2169 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2183 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25952": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2185 ], "I1": [ 2186 ], "I2": [ 2187 ], "I3": [ 2188 ], "O": [ 2107 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25953": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2181 ], "I1": [ 2189 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2185 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25954": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2155 ], "I1": [ 2153 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2189 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25955": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2190 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2186 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25956": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2180 ], "I1": [ 2178 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2190 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25957": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2191 ], "I1": [ 2192 ], "I2": [ 2193 ], "I3": [ 2194 ], "O": [ 2187 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25958": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2184 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2191 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25959": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2178 ], "I1": [ 2097 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2192 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25960": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2195 ], "I1": [ 2196 ], "I2": [ 2197 ], "I3": [ 1871 ], "O": [ 2193 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25961": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2117 ], "I1": [ 2118 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2196 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25962": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2138 ], "I1": [ 2139 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2195 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25963": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13114 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2148 ], "I1": [ 2097 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2197 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25964": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3857 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2113 ], "I1": [ 2112 ], "I2": [ 2097 ], "I3": [ 1871 ], "O": [ 2194 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25965": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2197 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2188 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25966": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2198 ], "I1": [ 2199 ], "I2": [ 2200 ], "I3": [ 2201 ], "O": [ 2103 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25967": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2172 ], "I2": [ 2202 ], "I3": [ 2203 ], "O": [ 2198 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25968": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2204 ], "I1": [ 2150 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2202 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25969": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2205 ], "I1": [ 2206 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2204 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25970": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2207 ], "I1": [ 2208 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2206 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25971": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2209 ], "I1": [ 2210 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2208 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25972": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2211 ], "O": [ 2210 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25973": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2212 ], "O": [ 2209 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25974": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2213 ], "I1": [ 2214 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2207 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25975": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2215 ], "O": [ 2214 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25976": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2216 ], "O": [ 2213 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25977": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2217 ], "I1": [ 2218 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2205 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25978": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2219 ], "I1": [ 2220 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2218 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25979": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2221 ], "O": [ 2220 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25980": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2222 ], "O": [ 2219 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25981": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2223 ], "I1": [ 2224 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2217 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25982": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2225 ], "O": [ 2224 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25983": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2226 ], "O": [ 2223 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25984": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 1921 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2203 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25985": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2188 ], "I2": [ 2227 ], "I3": [ 2203 ], "O": [ 2199 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25986": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2228 ], "I1": [ 2229 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2227 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25987": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2196 ], "I1": [ 2195 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2229 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25988": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2230 ], "I1": [ 2115 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2228 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25989": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2217 ], "I1": [ 2156 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2230 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25990": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2231 ], "I1": [ 2232 ], "I2": [ 2233 ], "I3": [ "0" ], "O": [ 2200 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25991": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2234 ], "I1": [ 2235 ], "I2": [ 2236 ], "I3": [ 2203 ], "O": [ 2232 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25992": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2182 ], "I1": [ 2183 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2235 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25993": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65024 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1871 ], "I1": [ 2237 ], "I2": [ 2238 ], "I3": [ 1923 ], "O": [ 2234 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25994": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2239 ], "I1": [ 2240 ], "I2": [ 1872 ], "I3": [ 1875 ], "O": [ 2238 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25995": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2158 ], "I1": [ 2224 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2240 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25996": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2223 ], "I1": [ 2219 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2239 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25997": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2167 ], "I1": [ 2166 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 2237 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25998": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2184 ], "I2": [ 1871 ], "I3": [ 1983 ], "O": [ 2236 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$25999": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2241 ], "I1": [ 2163 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2231 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26000": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2242 ], "I1": [ 2243 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2241 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26001": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2239 ], "I1": [ 2240 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2243 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26002": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2244 ], "I1": [ 2245 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2242 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26003": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2220 ], "I1": [ 2213 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2245 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26004": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1283 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2214 ], "I1": [ 2209 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2244 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26005": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2111 ], "I2": [ 2203 ], "I3": [ "0" ], "O": [ 2233 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26006": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2246 ], "I1": [ 2247 ], "I2": [ 2248 ], "I3": [ 2097 ], "O": [ 2201 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26007": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2192 ], "I1": [ 1983 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2246 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26008": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2249 ], "I1": [ 2176 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2247 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26009": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2250 ], "I1": [ 2251 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2249 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26010": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2166 ], "I1": [ 2240 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2251 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26011": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2245 ], "I1": [ 2239 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2250 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26012": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2252 ], "I1": [ 2190 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2248 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26013": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2179 ], "I1": [ 2251 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2252 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26014": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2253 ], "I1": [ 2254 ], "I2": [ 2255 ], "I3": [ "0" ], "O": [ 2104 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26015": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2174 ], "I2": [ 2256 ], "I3": [ 2203 ], "O": [ 2253 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26016": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2257 ], "I1": [ 2189 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2256 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26017": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2205 ], "I1": [ 2154 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2257 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26018": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2186 ], "I2": [ 2258 ], "I3": [ 2203 ], "O": [ 2254 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26019": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1792 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1871 ], "I1": [ 2252 ], "I2": [ 2259 ], "I3": [ 1923 ], "O": [ 2258 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26020": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3082 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2260 ], "I1": [ 2250 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2259 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26021": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2261 ], "I1": [ 2244 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2260 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26022": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 773 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2262 ], "I1": [ 2210 ], "I2": [ 2121 ], "I3": [ 1879 ], "O": [ 2261 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26023": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1881 ], "I1": [ 1882 ], "I2": [ 1880 ], "I3": [ 2263 ], "O": [ 2262 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26024": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2194 ], "I2": [ 2264 ], "I3": [ 2203 ], "O": [ 2255 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26025": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13568 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2265 ], "I1": [ 2114 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2264 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26026": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2266 ], "I1": [ 2230 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2265 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26027": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2218 ], "I1": [ 2207 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2266 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26028": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32512 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2267 ], "I1": [ 2268 ], "I2": [ 2269 ], "I3": [ 1923 ], "O": [ 2270 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26029": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2172 ], "I1": [ 2108 ], "I2": [ 2185 ], "I3": [ 2191 ], "O": [ 2267 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26030": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2173 ], "I1": [ 2174 ], "I2": [ 2186 ], "I3": [ 2271 ], "O": [ 2268 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26031": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2192 ], "I1": [ 2193 ], "I2": [ 2194 ], "I3": [ 2175 ], "O": [ 2271 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26032": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2111 ], "I1": [ 2188 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2269 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26033": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2246 ], "I1": [ 2247 ], "I2": [ 1921 ], "I3": [ "0" ], "O": [ 2272 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26034": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2233 ], "I1": [ 2231 ], "I2": [ 2232 ], "I3": [ "0" ], "O": [ 2273 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26035": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2109 ], "I2": [ 2274 ], "I3": [ 2203 ], "O": [ 2098 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26036": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2204 ], "I1": [ 2275 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2274 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26037": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2276 ], "I1": [ 2277 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2275 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26038": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2278 ], "I1": [ 2279 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2277 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26039": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2280 ], "I1": [ 2281 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2279 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26040": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2282 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2281 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26041": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2283 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2280 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26042": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4592 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2121 ], "I1": [ 2262 ], "I2": [ 2284 ], "I3": [ 1879 ], "O": [ 2278 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26043": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2285 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2284 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26044": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1292 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2286 ], "I1": [ 2287 ], "I2": [ 2121 ], "I3": [ 1875 ], "O": [ 2276 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26045": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 21503 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1676 ], "I1": [ 1670 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2287 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26046": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2288 ], "I1": [ 1696 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2286 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26047": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2261 ], "I1": [ 2289 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2290 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26048": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2284 ], "I1": [ 2280 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2289 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26049": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2291 ], "I1": [ 2281 ], "I2": [ 1879 ], "I3": [ "0" ], "O": [ 2292 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26050": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2288 ], "I2": [ 1938 ], "I3": [ "0" ], "O": [ 2291 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26051": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44032 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1696 ], "I1": [ 1676 ], "I2": [ 1879 ], "I3": [ 1938 ], "O": [ 2293 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26052": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2110 ], "I2": [ 2203 ], "I3": [ "0" ], "O": [ 2294 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26053": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2295 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2296 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26054": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2108 ], "I2": [ 2297 ], "I3": [ 2203 ], "O": [ 2295 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26055": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2265 ], "I1": [ 2298 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2297 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26056": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2299 ], "I1": [ 2300 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2298 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26057": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4078 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2286 ], "I1": [ 2121 ], "I2": [ 2279 ], "I3": [ 1875 ], "O": [ 2300 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26058": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2208 ], "I1": [ 2278 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2299 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26059": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2301 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2302 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26060": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2173 ], "I2": [ 2303 ], "I3": [ 2203 ], "O": [ 2301 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26061": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2249 ], "I1": [ 2304 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2303 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26062": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 92 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2260 ], "I1": [ 2305 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2304 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26063": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2289 ], "I1": [ 2292 ], "I2": [ 1875 ], "I3": [ "0" ], "O": [ 2305 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26064": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2306 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2307 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26065": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2185 ], "I2": [ 2308 ], "I3": [ 2203 ], "O": [ 2306 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26066": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2257 ], "I1": [ 2309 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2308 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26067": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2206 ], "I1": [ 2277 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2309 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26068": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2310 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2311 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26069": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 208 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2175 ], "I2": [ 2312 ], "I3": [ "0" ], "O": [ 2310 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26070": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 239 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2313 ], "I1": [ 2314 ], "I2": [ 1923 ], "I3": [ 2203 ], "O": [ 2312 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26071": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2572 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2242 ], "I1": [ 2290 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 2314 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26072": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2238 ], "I1": [ 2237 ], "I2": [ 1871 ], "I3": [ "0" ], "O": [ 2313 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26073": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 2315 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 2316 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26074": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1983 ], "I1": [ 2193 ], "I2": [ 2317 ], "I3": [ 2203 ], "O": [ 2315 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26075": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23552 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2228 ], "I1": [ 2318 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 2317 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26076": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2266 ], "I1": [ 2299 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 2318 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26077": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 350 ], "I1": [ 357 ], "I2": [ 356 ], "I3": [ 352 ], "O": [ 2319 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26078": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 357 ], "I2": [ 356 ], "I3": [ 352 ], "O": [ 2321 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26079": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2322 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2323 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26080": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2324 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2325 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26081": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2326 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2327 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26082": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2328 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2329 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26083": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2330 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2331 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26084": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2332 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2333 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26085": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2334 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2335 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26086": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2336 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2337 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26087": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2338 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2339 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26088": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2340 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2341 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26089": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2342 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2343 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26090": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2344 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2345 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26091": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2346 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2347 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26092": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2348 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2349 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26093": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2350 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2351 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26094": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2352 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2353 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26095": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2320 ], "I1": [ 2354 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2355 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26096": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2356 ], "I1": [ 2357 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 2358 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26097": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2359 ], "I1": [ 2360 ], "I2": [ 556 ], "I3": [ "0" ], "O": [ 2361 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26098": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 17 ], "I2": [ 195 ], "I3": [ 2363 ], "O": [ 2364 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26099": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 277 ], "I1": [ 476 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2362 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26100": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 482 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2363 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26101": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 18 ], "I2": [ 198 ], "I3": [ 2363 ], "O": [ 2365 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26102": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 13 ], "I2": [ 201 ], "I3": [ 2363 ], "O": [ 2366 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26103": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 12 ], "I2": [ 202 ], "I3": [ 2363 ], "O": [ 2367 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26104": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 19 ], "I2": [ 199 ], "I3": [ 2363 ], "O": [ 2368 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26105": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 20 ], "I2": [ 200 ], "I3": [ 2363 ], "O": [ 2369 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26106": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 2362 ], "I2": [ 1521 ], "I3": [ 2363 ], "O": [ 2370 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26107": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 22 ], "I1": [ 2362 ], "I2": [ 1526 ], "I3": [ 2363 ], "O": [ 2371 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26108": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 515 ], "I2": [ 1531 ], "I3": [ 2363 ], "O": [ 2372 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26109": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 515 ], "I2": [ 1536 ], "I3": [ 2363 ], "O": [ 2373 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26110": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 13 ], "I1": [ 515 ], "I2": [ 1541 ], "I3": [ 2363 ], "O": [ 2374 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26111": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 515 ], "I2": [ 1546 ], "I3": [ 2363 ], "O": [ 2375 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26112": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 515 ], "I2": [ 1551 ], "I3": [ 2363 ], "O": [ 2376 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26113": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 20 ], "I1": [ 515 ], "I2": [ 1556 ], "I3": [ 2363 ], "O": [ 2377 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26114": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 515 ], "I2": [ 1561 ], "I3": [ 2363 ], "O": [ 2378 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26115": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 22 ], "I1": [ 515 ], "I2": [ 1566 ], "I3": [ 2363 ], "O": [ 2379 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26116": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1682 ], "I1": [ 1666 ], "I2": [ 1667 ], "I3": [ 1668 ], "O": [ 2380 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26117": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1476 ], "I1": [ 1460 ], "I2": [ 1461 ], "I3": [ 1462 ], "O": [ 2381 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26118": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 56788 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1485 ], "I1": [ 1486 ], "I2": [ 1483 ], "I3": [ 1484 ], "O": [ 2382 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26119": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2383 ], "I1": [ 2384 ], "I2": [ 2385 ], "I3": [ "0" ], "O": [ 2386 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26120": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1487 ], "I1": [ 1488 ], "I2": [ 1489 ], "I3": [ "0" ], "O": [ 2383 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26121": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2387 ], "I1": [ 2388 ], "I2": [ 2389 ], "I3": [ "0" ], "O": [ 2385 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26122": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1490 ], "I1": [ 1491 ], "I2": [ 1492 ], "I3": [ "0" ], "O": [ 2387 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26123": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2390 ], "I1": [ 2391 ], "I2": [ 2392 ], "I3": [ "0" ], "O": [ 2388 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26124": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1494 ], "I1": [ 347 ], "I2": [ 1495 ], "I3": [ 1493 ], "O": [ 2390 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26125": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2067 ], "I2": [ 2393 ], "I3": [ 2394 ], "O": [ 2391 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26126": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2395 ], "I1": [ 2396 ], "I2": [ 2397 ], "I3": [ "0" ], "O": [ 2392 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26127": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2398 ], "I1": [ 2399 ], "I2": [ 2400 ], "I3": [ "0" ], "O": [ 2389 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26128": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1502 ], "I1": [ 1503 ], "I2": [ 1504 ], "I3": [ "0" ], "O": [ 2398 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26129": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1496 ], "I1": [ 1497 ], "I2": [ 1498 ], "I3": [ "0" ], "O": [ 2399 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26130": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2401 ], "I1": [ 2402 ], "I2": [ 2403 ], "I3": [ "0" ], "O": [ 2400 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26131": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1499 ], "I1": [ 1501 ], "I2": [ 1500 ], "I3": [ "0" ], "O": [ 2384 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26132": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2404 ], "I1": [ 2405 ], "I2": [ 2406 ], "I3": [ "0" ], "O": [ 2407 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26133": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2387 ], "I1": [ 2388 ], "I2": [ 2389 ], "I3": [ "0" ], "O": [ 2404 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26134": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2408 ], "I1": [ 2409 ], "I2": [ 2410 ], "I3": [ "0" ], "O": [ 2406 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26135": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2390 ], "I1": [ 2391 ], "I2": [ 2392 ], "I3": [ "0" ], "O": [ 2408 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26136": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2411 ], "I1": [ 2412 ], "I2": [ 2413 ], "I3": [ "0" ], "O": [ 2409 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26137": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2393 ], "I1": [ 347 ], "I2": [ 2394 ], "I3": [ 2067 ], "O": [ 2411 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26138": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2061 ], "I2": [ 2414 ], "I3": [ 2415 ], "O": [ 2412 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26139": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2416 ], "I1": [ 2417 ], "I2": [ 2418 ], "I3": [ "0" ], "O": [ 2413 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26140": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2419 ], "I1": [ 2420 ], "I2": [ 2421 ], "I3": [ "0" ], "O": [ 2410 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26141": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2401 ], "I1": [ 2402 ], "I2": [ 2403 ], "I3": [ "0" ], "O": [ 2419 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26142": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2395 ], "I1": [ 2396 ], "I2": [ 2397 ], "I3": [ "0" ], "O": [ 2420 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26143": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2422 ], "I1": [ 2423 ], "I2": [ 2424 ], "I3": [ "0" ], "O": [ 2421 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26144": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2398 ], "I1": [ 2400 ], "I2": [ 2399 ], "I3": [ "0" ], "O": [ 2405 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26145": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2425 ], "I1": [ 2426 ], "I2": [ 2427 ], "I3": [ "0" ], "O": [ 2428 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26146": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2408 ], "I1": [ 2409 ], "I2": [ 2410 ], "I3": [ "0" ], "O": [ 2425 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26147": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2429 ], "I1": [ 2430 ], "I2": [ 2431 ], "I3": [ "0" ], "O": [ 2427 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26148": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2411 ], "I1": [ 2412 ], "I2": [ 2413 ], "I3": [ "0" ], "O": [ 2429 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26149": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2432 ], "I1": [ 2433 ], "I2": [ 2434 ], "I3": [ "0" ], "O": [ 2430 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26150": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2414 ], "I1": [ 347 ], "I2": [ 2415 ], "I3": [ 2061 ], "O": [ 2432 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26151": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2062 ], "I2": [ 2435 ], "I3": [ 2436 ], "O": [ 2433 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26152": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2437 ], "I1": [ 2438 ], "I2": [ 2439 ], "I3": [ "0" ], "O": [ 2434 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26153": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2440 ], "I1": [ 2441 ], "I2": [ 2442 ], "I3": [ "0" ], "O": [ 2431 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26154": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2422 ], "I1": [ 2423 ], "I2": [ 2424 ], "I3": [ "0" ], "O": [ 2440 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26155": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2416 ], "I1": [ 2417 ], "I2": [ 2418 ], "I3": [ "0" ], "O": [ 2441 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26156": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2443 ], "I1": [ 2444 ], "I2": [ 2445 ], "I3": [ "0" ], "O": [ 2442 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26157": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2419 ], "I1": [ 2421 ], "I2": [ 2420 ], "I3": [ "0" ], "O": [ 2426 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26158": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2446 ], "I1": [ 2447 ], "I2": [ 2448 ], "I3": [ "0" ], "O": [ 2449 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26159": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2429 ], "I1": [ 2430 ], "I2": [ 2431 ], "I3": [ "0" ], "O": [ 2446 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26160": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2450 ], "I1": [ 2451 ], "I2": [ 2452 ], "I3": [ "0" ], "O": [ 2448 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26161": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2432 ], "I1": [ 2433 ], "I2": [ 2434 ], "I3": [ "0" ], "O": [ 2450 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26162": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2453 ], "I1": [ 2454 ], "I2": [ 2455 ], "I3": [ "0" ], "O": [ 2451 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26163": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2435 ], "I1": [ 347 ], "I2": [ 2436 ], "I3": [ 2062 ], "O": [ 2453 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26164": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2064 ], "I2": [ 2456 ], "I3": [ 2457 ], "O": [ 2454 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26165": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2458 ], "I1": [ 2459 ], "I2": [ 2460 ], "I3": [ "0" ], "O": [ 2455 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26166": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2461 ], "I1": [ 2462 ], "I2": [ 2463 ], "I3": [ "0" ], "O": [ 2452 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26167": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2443 ], "I1": [ 2444 ], "I2": [ 2445 ], "I3": [ "0" ], "O": [ 2461 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26168": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2437 ], "I1": [ 2438 ], "I2": [ 2439 ], "I3": [ "0" ], "O": [ 2462 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26169": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2464 ], "I1": [ 2465 ], "I2": [ 2466 ], "I3": [ "0" ], "O": [ 2463 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26170": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2440 ], "I1": [ 2442 ], "I2": [ 2441 ], "I3": [ "0" ], "O": [ 2447 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26171": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2467 ], "I1": [ 2468 ], "I2": [ 2469 ], "I3": [ "0" ], "O": [ 2470 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26172": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2450 ], "I1": [ 2451 ], "I2": [ 2452 ], "I3": [ "0" ], "O": [ 2467 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26173": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2471 ], "I1": [ 2472 ], "I2": [ 2473 ], "I3": [ "0" ], "O": [ 2469 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26174": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2453 ], "I1": [ 2454 ], "I2": [ 2455 ], "I3": [ "0" ], "O": [ 2471 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26175": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2474 ], "I1": [ 2475 ], "I2": [ 2476 ], "I3": [ "0" ], "O": [ 2472 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26176": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2456 ], "I1": [ 347 ], "I2": [ 2457 ], "I3": [ 2064 ], "O": [ 2474 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26177": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2008 ], "I2": [ 2477 ], "I3": [ 2478 ], "O": [ 2475 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26178": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2479 ], "I1": [ 2480 ], "I2": [ 2481 ], "I3": [ "0" ], "O": [ 2476 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26179": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2482 ], "I1": [ 2483 ], "I2": [ 2484 ], "I3": [ "0" ], "O": [ 2473 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26180": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2464 ], "I1": [ 2465 ], "I2": [ 2466 ], "I3": [ "0" ], "O": [ 2482 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26181": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2458 ], "I1": [ 2459 ], "I2": [ 2460 ], "I3": [ "0" ], "O": [ 2483 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26182": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2485 ], "I1": [ 2486 ], "I2": [ 2487 ], "I3": [ "0" ], "O": [ 2484 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26183": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2461 ], "I1": [ 2463 ], "I2": [ 2462 ], "I3": [ "0" ], "O": [ 2468 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26184": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2488 ], "I1": [ 2489 ], "I2": [ 2490 ], "I3": [ "0" ], "O": [ 2491 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26185": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2471 ], "I1": [ 2472 ], "I2": [ 2473 ], "I3": [ "0" ], "O": [ 2488 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26186": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2492 ], "I1": [ 2493 ], "I2": [ 2494 ], "I3": [ "0" ], "O": [ 2490 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26187": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2474 ], "I1": [ 2475 ], "I2": [ 2476 ], "I3": [ "0" ], "O": [ 2492 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26188": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2495 ], "I1": [ 2496 ], "I2": [ 2497 ], "I3": [ "0" ], "O": [ 2493 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26189": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2477 ], "I1": [ 347 ], "I2": [ 2478 ], "I3": [ 2008 ], "O": [ 2495 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26190": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2009 ], "I2": [ 2498 ], "I3": [ 2499 ], "O": [ 2496 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26191": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2500 ], "I1": [ 2501 ], "I2": [ 2502 ], "I3": [ "0" ], "O": [ 2497 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26192": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2503 ], "I1": [ 2504 ], "I2": [ 2505 ], "I3": [ "0" ], "O": [ 2494 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26193": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2485 ], "I1": [ 2486 ], "I2": [ 2487 ], "I3": [ "0" ], "O": [ 2503 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26194": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2479 ], "I1": [ 2480 ], "I2": [ 2481 ], "I3": [ "0" ], "O": [ 2504 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26195": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2506 ], "I1": [ 2507 ], "I2": [ 2508 ], "I3": [ "0" ], "O": [ 2505 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26196": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2482 ], "I1": [ 2484 ], "I2": [ 2483 ], "I3": [ "0" ], "O": [ 2489 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26197": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2509 ], "I1": [ 2510 ], "I2": [ 2511 ], "I3": [ "0" ], "O": [ 2512 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26198": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2492 ], "I1": [ 2493 ], "I2": [ 2494 ], "I3": [ "0" ], "O": [ 2509 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26199": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2513 ], "I1": [ 2514 ], "I2": [ 2515 ], "I3": [ "0" ], "O": [ 2511 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26200": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2495 ], "I1": [ 2496 ], "I2": [ 2497 ], "I3": [ "0" ], "O": [ 2513 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26201": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2516 ], "I1": [ 2517 ], "I2": [ 2518 ], "I3": [ "0" ], "O": [ 2514 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26202": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2498 ], "I1": [ 347 ], "I2": [ 2499 ], "I3": [ 2009 ], "O": [ 2516 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26203": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2012 ], "I2": [ 2519 ], "I3": [ 2520 ], "O": [ 2517 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26204": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2521 ], "I1": [ 2522 ], "I2": [ 2523 ], "I3": [ "0" ], "O": [ 2518 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26205": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2524 ], "I1": [ 2525 ], "I2": [ 2526 ], "I3": [ "0" ], "O": [ 2515 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26206": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2506 ], "I1": [ 2507 ], "I2": [ 2508 ], "I3": [ "0" ], "O": [ 2524 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26207": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2500 ], "I1": [ 2501 ], "I2": [ 2502 ], "I3": [ "0" ], "O": [ 2525 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26208": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2527 ], "I1": [ 2528 ], "I2": [ 2529 ], "I3": [ "0" ], "O": [ 2526 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26209": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2503 ], "I1": [ 2505 ], "I2": [ 2504 ], "I3": [ "0" ], "O": [ 2510 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26210": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2530 ], "I1": [ 2531 ], "I2": [ 2532 ], "I3": [ "0" ], "O": [ 2533 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26211": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2513 ], "I1": [ 2514 ], "I2": [ 2515 ], "I3": [ "0" ], "O": [ 2530 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26212": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2534 ], "I1": [ 2535 ], "I2": [ 2536 ], "I3": [ "0" ], "O": [ 2532 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26213": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2516 ], "I1": [ 2517 ], "I2": [ 2518 ], "I3": [ "0" ], "O": [ 2534 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26214": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2537 ], "I1": [ 2538 ], "I2": [ 2539 ], "I3": [ "0" ], "O": [ 2535 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26215": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2519 ], "I1": [ 347 ], "I2": [ 2520 ], "I3": [ 2012 ], "O": [ 2537 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26216": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2013 ], "I2": [ 2540 ], "I3": [ 2541 ], "O": [ 2538 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26217": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2542 ], "I1": [ 2543 ], "I2": [ 2544 ], "I3": [ "0" ], "O": [ 2539 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26218": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2545 ], "I1": [ 2546 ], "I2": [ 2547 ], "I3": [ "0" ], "O": [ 2536 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26219": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2527 ], "I1": [ 2528 ], "I2": [ 2529 ], "I3": [ "0" ], "O": [ 2545 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26220": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2521 ], "I1": [ 2522 ], "I2": [ 2523 ], "I3": [ "0" ], "O": [ 2546 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26221": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2548 ], "I1": [ 2549 ], "I2": [ 2550 ], "I3": [ "0" ], "O": [ 2547 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26222": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2524 ], "I1": [ 2526 ], "I2": [ 2525 ], "I3": [ "0" ], "O": [ 2531 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26223": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2551 ], "I1": [ 2552 ], "I2": [ 2553 ], "I3": [ "0" ], "O": [ 2554 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26224": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2534 ], "I1": [ 2535 ], "I2": [ 2536 ], "I3": [ "0" ], "O": [ 2551 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26225": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2555 ], "I1": [ 2556 ], "I2": [ 2557 ], "I3": [ "0" ], "O": [ 2553 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26226": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2537 ], "I1": [ 2538 ], "I2": [ 2539 ], "I3": [ "0" ], "O": [ 2555 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26227": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2558 ], "I1": [ 2559 ], "I2": [ 2560 ], "I3": [ "0" ], "O": [ 2556 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26228": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2540 ], "I1": [ 347 ], "I2": [ 2541 ], "I3": [ 2013 ], "O": [ 2558 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26229": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2003 ], "I2": [ 2561 ], "I3": [ 2562 ], "O": [ 2559 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26230": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2563 ], "I1": [ 2564 ], "I2": [ 2565 ], "I3": [ "0" ], "O": [ 2560 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26231": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2566 ], "I1": [ 2567 ], "I2": [ 2568 ], "I3": [ "0" ], "O": [ 2557 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26232": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2548 ], "I1": [ 2549 ], "I2": [ 2550 ], "I3": [ "0" ], "O": [ 2566 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26233": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2542 ], "I1": [ 2543 ], "I2": [ 2544 ], "I3": [ "0" ], "O": [ 2567 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26234": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2569 ], "I1": [ 2570 ], "I2": [ 2571 ], "I3": [ "0" ], "O": [ 2568 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26235": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2545 ], "I1": [ 2547 ], "I2": [ 2546 ], "I3": [ "0" ], "O": [ 2552 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26236": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2572 ], "I1": [ 2573 ], "I2": [ 2574 ], "I3": [ "0" ], "O": [ 2575 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26237": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2555 ], "I1": [ 2556 ], "I2": [ 2557 ], "I3": [ "0" ], "O": [ 2572 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26238": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2576 ], "I1": [ 2577 ], "I2": [ 2578 ], "I3": [ "0" ], "O": [ 2574 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26239": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2558 ], "I1": [ 2559 ], "I2": [ 2560 ], "I3": [ "0" ], "O": [ 2576 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26240": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2579 ], "I1": [ 2580 ], "I2": [ 2581 ], "I3": [ "0" ], "O": [ 2577 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26241": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2561 ], "I1": [ 347 ], "I2": [ 2562 ], "I3": [ 2003 ], "O": [ 2579 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26242": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1992 ], "I2": [ 2582 ], "I3": [ 2583 ], "O": [ 2580 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26243": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2584 ], "I1": [ 2585 ], "I2": [ 2586 ], "I3": [ "0" ], "O": [ 2581 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26244": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2587 ], "I1": [ 2588 ], "I2": [ 2589 ], "I3": [ "0" ], "O": [ 2578 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26245": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2569 ], "I1": [ 2570 ], "I2": [ 2571 ], "I3": [ "0" ], "O": [ 2587 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26246": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2563 ], "I1": [ 2564 ], "I2": [ 2565 ], "I3": [ "0" ], "O": [ 2588 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26247": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2590 ], "I1": [ 2591 ], "I2": [ 2592 ], "I3": [ "0" ], "O": [ 2589 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26248": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2566 ], "I1": [ 2568 ], "I2": [ 2567 ], "I3": [ "0" ], "O": [ 2573 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26249": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2593 ], "I1": [ 2594 ], "I2": [ 2595 ], "I3": [ "0" ], "O": [ 2596 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26250": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2576 ], "I1": [ 2577 ], "I2": [ 2578 ], "I3": [ "0" ], "O": [ 2593 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26251": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2597 ], "I1": [ 2598 ], "I2": [ 2599 ], "I3": [ "0" ], "O": [ 2595 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26252": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2579 ], "I1": [ 2580 ], "I2": [ 2581 ], "I3": [ "0" ], "O": [ 2597 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26253": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2600 ], "I1": [ 2601 ], "I2": [ 2602 ], "I3": [ "0" ], "O": [ 2598 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26254": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2582 ], "I1": [ 347 ], "I2": [ 2583 ], "I3": [ 1992 ], "O": [ 2600 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26255": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1993 ], "I2": [ 2603 ], "I3": [ 2604 ], "O": [ 2601 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26256": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2605 ], "I1": [ 2606 ], "I2": [ 2607 ], "I3": [ "0" ], "O": [ 2602 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26257": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2608 ], "I1": [ 2609 ], "I2": [ 2610 ], "I3": [ "0" ], "O": [ 2599 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26258": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2590 ], "I1": [ 2591 ], "I2": [ 2592 ], "I3": [ "0" ], "O": [ 2608 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26259": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2584 ], "I1": [ 2585 ], "I2": [ 2586 ], "I3": [ "0" ], "O": [ 2609 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26260": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2611 ], "I1": [ 2612 ], "I2": [ 2613 ], "I3": [ "0" ], "O": [ 2610 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26261": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2587 ], "I1": [ 2589 ], "I2": [ 2588 ], "I3": [ "0" ], "O": [ 2594 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26262": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2614 ], "I1": [ 2615 ], "I2": [ 2616 ], "I3": [ "0" ], "O": [ 2617 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26263": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2597 ], "I1": [ 2598 ], "I2": [ 2599 ], "I3": [ "0" ], "O": [ 2614 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26264": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2618 ], "I1": [ 2619 ], "I2": [ 2620 ], "I3": [ "0" ], "O": [ 2616 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26265": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2600 ], "I1": [ 2601 ], "I2": [ 2602 ], "I3": [ "0" ], "O": [ 2618 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26266": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2621 ], "I1": [ 2622 ], "I2": [ 2623 ], "I3": [ "0" ], "O": [ 2619 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26267": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2603 ], "I1": [ 347 ], "I2": [ 2604 ], "I3": [ 1993 ], "O": [ 2621 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26268": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1995 ], "I2": [ 2624 ], "I3": [ 2625 ], "O": [ 2622 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26269": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2626 ], "I1": [ 2627 ], "I2": [ 2628 ], "I3": [ "0" ], "O": [ 2623 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26270": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2629 ], "I1": [ 2630 ], "I2": [ 2631 ], "I3": [ "0" ], "O": [ 2620 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26271": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2611 ], "I1": [ 2612 ], "I2": [ 2613 ], "I3": [ "0" ], "O": [ 2629 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26272": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2605 ], "I1": [ 2606 ], "I2": [ 2607 ], "I3": [ "0" ], "O": [ 2630 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26273": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2632 ], "I1": [ 2633 ], "I2": [ 2634 ], "I3": [ "0" ], "O": [ 2631 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26274": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2608 ], "I1": [ 2610 ], "I2": [ 2609 ], "I3": [ "0" ], "O": [ 2615 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26275": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2635 ], "I1": [ 2636 ], "I2": [ 2637 ], "I3": [ "0" ], "O": [ 2638 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26276": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2618 ], "I1": [ 2619 ], "I2": [ 2620 ], "I3": [ "0" ], "O": [ 2635 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26277": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2639 ], "I1": [ 2640 ], "I2": [ 2641 ], "I3": [ "0" ], "O": [ 2637 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26278": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2621 ], "I1": [ 2622 ], "I2": [ 2623 ], "I3": [ "0" ], "O": [ 2639 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26279": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2642 ], "I1": [ 2643 ], "I2": [ 2644 ], "I3": [ "0" ], "O": [ 2640 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26280": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2624 ], "I1": [ 347 ], "I2": [ 2625 ], "I3": [ 1995 ], "O": [ 2642 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26281": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1883 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2643 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26282": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2647 ], "I1": [ 2648 ], "I2": [ 2649 ], "I3": [ "0" ], "O": [ 2644 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26283": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2650 ], "I1": [ 2651 ], "I2": [ 2652 ], "I3": [ "0" ], "O": [ 2641 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26284": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2632 ], "I1": [ 2633 ], "I2": [ 2634 ], "I3": [ "0" ], "O": [ 2650 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26285": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2626 ], "I1": [ 2627 ], "I2": [ 2628 ], "I3": [ "0" ], "O": [ 2651 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26286": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2653 ], "I1": [ 2654 ], "I2": [ 2655 ], "I3": [ "0" ], "O": [ 2652 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26287": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2629 ], "I1": [ 2631 ], "I2": [ 2630 ], "I3": [ "0" ], "O": [ 2636 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26288": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2656 ], "I1": [ 2657 ], "I2": [ 2658 ], "I3": [ "0" ], "O": [ 2659 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26289": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2639 ], "I1": [ 2640 ], "I2": [ 2641 ], "I3": [ "0" ], "O": [ 2656 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26290": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2660 ], "I1": [ 2661 ], "I2": [ 2662 ], "I3": [ "0" ], "O": [ 2658 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26291": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2642 ], "I1": [ 2643 ], "I2": [ 2644 ], "I3": [ "0" ], "O": [ 2660 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26292": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2663 ], "I2": [ 2664 ], "I3": [ "0" ], "O": [ 2661 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26293": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1883 ], "O": [ 2663 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26294": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1884 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2664 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26295": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30945 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2653 ], "I1": [ 2654 ], "I2": [ 2665 ], "I3": [ 2655 ], "O": [ 2662 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26296": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2647 ], "I1": [ 2648 ], "I2": [ 2649 ], "I3": [ "0" ], "O": [ 2665 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26297": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2650 ], "I1": [ 2652 ], "I2": [ 2651 ], "I3": [ "0" ], "O": [ 2657 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26298": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2666 ], "I1": [ 2667 ], "I2": [ 2668 ], "I3": [ "0" ], "O": [ 2669 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26299": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2660 ], "I1": [ 2661 ], "I2": [ 2662 ], "I3": [ "0" ], "O": [ 2666 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26300": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2670 ], "I2": [ 2671 ], "I3": [ "0" ], "O": [ 2668 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26301": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2664 ], "I2": [ 2663 ], "I3": [ "0" ], "O": [ 2670 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26302": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2672 ], "I2": [ 2673 ], "I3": [ "0" ], "O": [ 2671 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26303": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1884 ], "O": [ 2672 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26304": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1887 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2673 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26305": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32513 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2653 ], "I1": [ 2654 ], "I2": [ 2655 ], "I3": [ 2665 ], "O": [ 2667 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26306": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2674 ], "I1": [ 2667 ], "I2": [ 2675 ], "I3": [ "0" ], "O": [ 2676 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26307": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2671 ], "I2": [ 2670 ], "I3": [ "0" ], "O": [ 2674 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26308": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2677 ], "I2": [ 2678 ], "I3": [ "0" ], "O": [ 2675 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26309": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2673 ], "I2": [ 2672 ], "I3": [ "0" ], "O": [ 2677 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26310": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2679 ], "I2": [ 2680 ], "I3": [ "0" ], "O": [ 2678 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26311": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1887 ], "O": [ 2679 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26312": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1888 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2680 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26313": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2681 ], "I1": [ 2667 ], "I2": [ 2682 ], "I3": [ "0" ], "O": [ 2683 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26314": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2678 ], "I2": [ 2677 ], "I3": [ "0" ], "O": [ 2681 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26315": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2684 ], "I2": [ 2685 ], "I3": [ "0" ], "O": [ 2682 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26316": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2680 ], "I2": [ 2679 ], "I3": [ "0" ], "O": [ 2684 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26317": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2686 ], "I2": [ 2687 ], "I3": [ "0" ], "O": [ 2685 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26318": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1888 ], "O": [ 2686 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26319": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1893 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2687 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26320": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2688 ], "I1": [ 2667 ], "I2": [ 2689 ], "I3": [ "0" ], "O": [ 2690 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26321": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2685 ], "I2": [ 2684 ], "I3": [ "0" ], "O": [ 2688 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26322": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2691 ], "I2": [ 2692 ], "I3": [ "0" ], "O": [ 2689 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26323": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2687 ], "I2": [ 2686 ], "I3": [ "0" ], "O": [ 2691 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26324": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2693 ], "I2": [ 2694 ], "I3": [ "0" ], "O": [ 2692 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26325": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1893 ], "O": [ 2693 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26326": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1894 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2694 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26327": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2695 ], "I1": [ 2667 ], "I2": [ 2696 ], "I3": [ "0" ], "O": [ 2697 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26328": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2692 ], "I2": [ 2691 ], "I3": [ "0" ], "O": [ 2695 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26329": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2698 ], "I2": [ 2699 ], "I3": [ "0" ], "O": [ 2696 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26330": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2694 ], "I2": [ 2693 ], "I3": [ "0" ], "O": [ 2698 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26331": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2700 ], "I2": [ 2701 ], "I3": [ "0" ], "O": [ 2699 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26332": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1894 ], "O": [ 2700 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26333": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1897 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2701 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26334": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2702 ], "I1": [ 2667 ], "I2": [ 2703 ], "I3": [ "0" ], "O": [ 2704 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26335": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2699 ], "I2": [ 2698 ], "I3": [ "0" ], "O": [ 2702 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26336": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2705 ], "I2": [ 2706 ], "I3": [ "0" ], "O": [ 2703 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26337": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2701 ], "I2": [ 2700 ], "I3": [ "0" ], "O": [ 2705 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26338": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2707 ], "I2": [ 2708 ], "I3": [ "0" ], "O": [ 2706 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26339": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1897 ], "O": [ 2707 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26340": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1898 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2708 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26341": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2709 ], "I1": [ 2667 ], "I2": [ 2710 ], "I3": [ "0" ], "O": [ 2711 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26342": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2706 ], "I2": [ 2705 ], "I3": [ "0" ], "O": [ 2709 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26343": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2712 ], "I2": [ 2713 ], "I3": [ "0" ], "O": [ 2710 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26344": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2708 ], "I2": [ 2707 ], "I3": [ "0" ], "O": [ 2712 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26345": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2714 ], "I2": [ 2715 ], "I3": [ "0" ], "O": [ 2713 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26346": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1898 ], "O": [ 2714 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26347": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1905 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2715 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26348": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2716 ], "I1": [ 2667 ], "I2": [ 2717 ], "I3": [ "0" ], "O": [ 2718 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26349": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2713 ], "I2": [ 2712 ], "I3": [ "0" ], "O": [ 2716 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26350": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2719 ], "I2": [ 2720 ], "I3": [ "0" ], "O": [ 2717 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26351": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2715 ], "I2": [ 2714 ], "I3": [ "0" ], "O": [ 2719 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26352": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2721 ], "I2": [ 2722 ], "I3": [ "0" ], "O": [ 2720 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26353": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1905 ], "O": [ 2721 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26354": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1906 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2722 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26355": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2723 ], "I1": [ 2667 ], "I2": [ 2724 ], "I3": [ "0" ], "O": [ 2725 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26356": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2720 ], "I2": [ 2719 ], "I3": [ "0" ], "O": [ 2723 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26357": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2726 ], "I2": [ 2727 ], "I3": [ "0" ], "O": [ 2724 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26358": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2722 ], "I2": [ 2721 ], "I3": [ "0" ], "O": [ 2726 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26359": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2728 ], "I2": [ 2729 ], "I3": [ "0" ], "O": [ 2727 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26360": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1906 ], "O": [ 2728 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26361": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1909 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2729 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26362": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2730 ], "I1": [ 2667 ], "I2": [ 2731 ], "I3": [ "0" ], "O": [ 2732 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26363": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2727 ], "I2": [ 2726 ], "I3": [ "0" ], "O": [ 2730 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26364": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2733 ], "I2": [ 2734 ], "I3": [ "0" ], "O": [ 2731 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26365": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2729 ], "I2": [ 2728 ], "I3": [ "0" ], "O": [ 2733 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26366": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2735 ], "I2": [ 2736 ], "I3": [ "0" ], "O": [ 2734 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26367": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1909 ], "O": [ 2735 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26368": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1910 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2736 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26369": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2737 ], "I1": [ 2667 ], "I2": [ 2738 ], "I3": [ "0" ], "O": [ 2739 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26370": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2734 ], "I2": [ 2733 ], "I3": [ "0" ], "O": [ 2737 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26371": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2740 ], "I2": [ 2741 ], "I3": [ "0" ], "O": [ 2738 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26372": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2736 ], "I2": [ 2735 ], "I3": [ "0" ], "O": [ 2740 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26373": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2742 ], "I2": [ 2743 ], "I3": [ "0" ], "O": [ 2741 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26374": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1910 ], "O": [ 2742 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26375": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1915 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2743 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26376": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2744 ], "I1": [ 2667 ], "I2": [ 2745 ], "I3": [ "0" ], "O": [ 2746 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26377": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2741 ], "I2": [ 2740 ], "I3": [ "0" ], "O": [ 2744 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26378": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2747 ], "I2": [ 2748 ], "I3": [ "0" ], "O": [ 2745 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26379": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2743 ], "I2": [ 2742 ], "I3": [ "0" ], "O": [ 2747 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26380": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2749 ], "I2": [ 2750 ], "I3": [ "0" ], "O": [ 2748 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26381": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1915 ], "O": [ 2749 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26382": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1916 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2750 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26383": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2751 ], "I1": [ 2667 ], "I2": [ 2752 ], "I3": [ "0" ], "O": [ 2753 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26384": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2748 ], "I2": [ 2747 ], "I3": [ "0" ], "O": [ 2751 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26385": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2754 ], "I2": [ 2755 ], "I3": [ "0" ], "O": [ 2752 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26386": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2750 ], "I2": [ 2749 ], "I3": [ "0" ], "O": [ 2754 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26387": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2756 ], "I2": [ 2757 ], "I3": [ "0" ], "O": [ 2755 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26388": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1916 ], "O": [ 2756 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26389": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1919 ], "I2": [ 2645 ], "I3": [ 2646 ], "O": [ 2757 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26390": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6001 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2758 ], "I1": [ 2667 ], "I2": [ 2759 ], "I3": [ 2662 ], "O": [ 2760 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26391": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2755 ], "I2": [ 2754 ], "I3": [ "0" ], "O": [ 2758 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26392": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2761 ], "I1": [ 2762 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 2759 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26393": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2757 ], "I2": [ 2756 ], "I3": [ "0" ], "O": [ 2761 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26394": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2763 ], "I2": [ 2764 ], "I3": [ 2645 ], "O": [ 2762 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26395": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 347 ], "I2": [ 2646 ], "I3": [ 1919 ], "O": [ 2763 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26396": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 75 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1920 ], "I2": [ 2646 ], "I3": [ "0" ], "O": [ 2764 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26397": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2383 ], "I1": [ 2385 ], "I2": [ 2384 ], "I3": [ "0" ], "O": [ 2765 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26398": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2404 ], "I1": [ 2406 ], "I2": [ 2405 ], "I3": [ "0" ], "O": [ 2766 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26399": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2425 ], "I1": [ 2427 ], "I2": [ 2426 ], "I3": [ "0" ], "O": [ 2767 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26400": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2446 ], "I1": [ 2448 ], "I2": [ 2447 ], "I3": [ "0" ], "O": [ 2768 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26401": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2467 ], "I1": [ 2469 ], "I2": [ 2468 ], "I3": [ "0" ], "O": [ 2769 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26402": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2488 ], "I1": [ 2490 ], "I2": [ 2489 ], "I3": [ "0" ], "O": [ 2770 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26403": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2509 ], "I1": [ 2511 ], "I2": [ 2510 ], "I3": [ "0" ], "O": [ 2771 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26404": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2530 ], "I1": [ 2532 ], "I2": [ 2531 ], "I3": [ "0" ], "O": [ 2772 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26405": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2551 ], "I1": [ 2553 ], "I2": [ 2552 ], "I3": [ "0" ], "O": [ 2773 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26406": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2572 ], "I1": [ 2574 ], "I2": [ 2573 ], "I3": [ "0" ], "O": [ 2774 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26407": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2593 ], "I1": [ 2595 ], "I2": [ 2594 ], "I3": [ "0" ], "O": [ 2775 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26408": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2614 ], "I1": [ 2616 ], "I2": [ 2615 ], "I3": [ "0" ], "O": [ 2776 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26409": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2635 ], "I1": [ 2637 ], "I2": [ 2636 ], "I3": [ "0" ], "O": [ 2777 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26410": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2656 ], "I1": [ 2658 ], "I2": [ 2657 ], "I3": [ "0" ], "O": [ 2778 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26411": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2666 ], "I1": [ 2668 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2779 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26412": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2674 ], "I1": [ 2675 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2780 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26413": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2681 ], "I1": [ 2682 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2781 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26414": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2688 ], "I1": [ 2689 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2782 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26415": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2695 ], "I1": [ 2696 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2783 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26416": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2702 ], "I1": [ 2703 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2784 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26417": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2709 ], "I1": [ 2710 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2785 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26418": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2716 ], "I1": [ 2717 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2786 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26419": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2723 ], "I1": [ 2724 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2787 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26420": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2730 ], "I1": [ 2731 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2788 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26421": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2737 ], "I1": [ 2738 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2789 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26422": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2744 ], "I1": [ 2745 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2790 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26423": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2751 ], "I1": [ 2752 ], "I2": [ 2667 ], "I3": [ "0" ], "O": [ 2791 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26424": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2758 ], "I1": [ 2759 ], "I2": [ 2662 ], "I3": [ 2667 ], "O": [ 2792 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26425": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57720 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2662 ], "I1": [ 2761 ], "I2": [ 2793 ], "I3": [ 2762 ], "O": [ 2794 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26426": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2667 ], "I1": [ 2795 ], "I2": [ 2796 ], "I3": [ 2644 ], "O": [ 2793 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26427": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19924 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2644 ], "I1": [ 2763 ], "I2": [ 2764 ], "I3": [ 2645 ], "O": [ 2795 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26428": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 75 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 1855 ], "I2": [ 2797 ], "I3": [ "0" ], "O": [ 2796 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26429": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 5905 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2645 ], "I1": [ 2646 ], "I2": [ 347 ], "I3": [ 1920 ], "O": [ 2797 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26430": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 56788 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1687 ], "I1": [ 1688 ], "I2": [ 1685 ], "I3": [ 1686 ], "O": [ 2798 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26431": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2799 ], "I1": [ 2800 ], "I2": [ 2801 ], "I3": [ "0" ], "O": [ 2802 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26432": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1690 ], "I1": [ 1691 ], "I2": [ 1692 ], "I3": [ "0" ], "O": [ 2799 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26433": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2803 ], "I1": [ 2804 ], "I2": [ 2805 ], "I3": [ "0" ], "O": [ 2801 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26434": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1693 ], "I1": [ 1694 ], "I2": [ 1695 ], "I3": [ "0" ], "O": [ 2803 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26435": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2806 ], "I1": [ 2807 ], "I2": [ 2808 ], "I3": [ "0" ], "O": [ 2804 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26436": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1697 ], "I1": [ 347 ], "I2": [ 1698 ], "I3": [ 1696 ], "O": [ 2806 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26437": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2288 ], "I2": [ 2809 ], "I3": [ 2810 ], "O": [ 2807 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26438": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2811 ], "I1": [ 2812 ], "I2": [ 2813 ], "I3": [ "0" ], "O": [ 2808 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26439": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2814 ], "I1": [ 2815 ], "I2": [ 2816 ], "I3": [ "0" ], "O": [ 2805 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26440": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1705 ], "I1": [ 1706 ], "I2": [ 1707 ], "I3": [ "0" ], "O": [ 2814 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26441": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1699 ], "I1": [ 1700 ], "I2": [ 1701 ], "I3": [ "0" ], "O": [ 2815 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26442": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2817 ], "I1": [ 2818 ], "I2": [ 2819 ], "I3": [ "0" ], "O": [ 2816 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26443": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1702 ], "I1": [ 1704 ], "I2": [ 1703 ], "I3": [ "0" ], "O": [ 2800 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26444": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2820 ], "I1": [ 2821 ], "I2": [ 2822 ], "I3": [ "0" ], "O": [ 2823 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26445": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2803 ], "I1": [ 2804 ], "I2": [ 2805 ], "I3": [ "0" ], "O": [ 2820 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26446": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2824 ], "I1": [ 2825 ], "I2": [ 2826 ], "I3": [ "0" ], "O": [ 2822 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26447": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2806 ], "I1": [ 2807 ], "I2": [ 2808 ], "I3": [ "0" ], "O": [ 2824 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26448": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2827 ], "I1": [ 2828 ], "I2": [ 2829 ], "I3": [ "0" ], "O": [ 2825 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26449": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2809 ], "I1": [ 347 ], "I2": [ 2810 ], "I3": [ 2288 ], "O": [ 2827 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26450": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2282 ], "I2": [ 2830 ], "I3": [ 2831 ], "O": [ 2828 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26451": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2832 ], "I1": [ 2833 ], "I2": [ 2834 ], "I3": [ "0" ], "O": [ 2829 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26452": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2835 ], "I1": [ 2836 ], "I2": [ 2837 ], "I3": [ "0" ], "O": [ 2826 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26453": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2817 ], "I1": [ 2818 ], "I2": [ 2819 ], "I3": [ "0" ], "O": [ 2835 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26454": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2811 ], "I1": [ 2812 ], "I2": [ 2813 ], "I3": [ "0" ], "O": [ 2836 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26455": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2838 ], "I1": [ 2839 ], "I2": [ 2840 ], "I3": [ "0" ], "O": [ 2837 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26456": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2814 ], "I1": [ 2816 ], "I2": [ 2815 ], "I3": [ "0" ], "O": [ 2821 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26457": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2841 ], "I1": [ 2842 ], "I2": [ 2843 ], "I3": [ "0" ], "O": [ 2844 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26458": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2824 ], "I1": [ 2825 ], "I2": [ 2826 ], "I3": [ "0" ], "O": [ 2841 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26459": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2845 ], "I1": [ 2846 ], "I2": [ 2847 ], "I3": [ "0" ], "O": [ 2843 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26460": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2827 ], "I1": [ 2828 ], "I2": [ 2829 ], "I3": [ "0" ], "O": [ 2845 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26461": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2848 ], "I1": [ 2849 ], "I2": [ 2850 ], "I3": [ "0" ], "O": [ 2846 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26462": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2830 ], "I1": [ 347 ], "I2": [ 2831 ], "I3": [ 2282 ], "O": [ 2848 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26463": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2283 ], "I2": [ 2851 ], "I3": [ 2852 ], "O": [ 2849 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26464": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2853 ], "I1": [ 2854 ], "I2": [ 2855 ], "I3": [ "0" ], "O": [ 2850 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26465": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2856 ], "I1": [ 2857 ], "I2": [ 2858 ], "I3": [ "0" ], "O": [ 2847 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26466": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2838 ], "I1": [ 2839 ], "I2": [ 2840 ], "I3": [ "0" ], "O": [ 2856 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26467": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2832 ], "I1": [ 2833 ], "I2": [ 2834 ], "I3": [ "0" ], "O": [ 2857 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26468": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2859 ], "I1": [ 2860 ], "I2": [ 2861 ], "I3": [ "0" ], "O": [ 2858 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26469": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2835 ], "I1": [ 2837 ], "I2": [ 2836 ], "I3": [ "0" ], "O": [ 2842 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26470": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2862 ], "I1": [ 2863 ], "I2": [ 2864 ], "I3": [ "0" ], "O": [ 2865 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26471": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2845 ], "I1": [ 2846 ], "I2": [ 2847 ], "I3": [ "0" ], "O": [ 2862 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26472": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2866 ], "I1": [ 2867 ], "I2": [ 2868 ], "I3": [ "0" ], "O": [ 2864 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26473": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2848 ], "I1": [ 2849 ], "I2": [ 2850 ], "I3": [ "0" ], "O": [ 2866 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26474": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2869 ], "I1": [ 2870 ], "I2": [ 2871 ], "I3": [ "0" ], "O": [ 2867 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26475": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2851 ], "I1": [ 347 ], "I2": [ 2852 ], "I3": [ 2283 ], "O": [ 2869 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26476": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2285 ], "I2": [ 2872 ], "I3": [ 2873 ], "O": [ 2870 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26477": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2874 ], "I1": [ 2875 ], "I2": [ 2876 ], "I3": [ "0" ], "O": [ 2871 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26478": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2877 ], "I1": [ 2878 ], "I2": [ 2879 ], "I3": [ "0" ], "O": [ 2868 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26479": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2859 ], "I1": [ 2860 ], "I2": [ 2861 ], "I3": [ "0" ], "O": [ 2877 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26480": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2853 ], "I1": [ 2854 ], "I2": [ 2855 ], "I3": [ "0" ], "O": [ 2878 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26481": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2880 ], "I1": [ 2881 ], "I2": [ 2882 ], "I3": [ "0" ], "O": [ 2879 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26482": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2856 ], "I1": [ 2858 ], "I2": [ 2857 ], "I3": [ "0" ], "O": [ 2863 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26483": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2883 ], "I1": [ 2884 ], "I2": [ 2885 ], "I3": [ "0" ], "O": [ 2886 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26484": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2866 ], "I1": [ 2867 ], "I2": [ 2868 ], "I3": [ "0" ], "O": [ 2883 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26485": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2887 ], "I1": [ 2888 ], "I2": [ 2889 ], "I3": [ "0" ], "O": [ 2885 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26486": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2869 ], "I1": [ 2870 ], "I2": [ 2871 ], "I3": [ "0" ], "O": [ 2887 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26487": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2890 ], "I1": [ 2891 ], "I2": [ 2892 ], "I3": [ "0" ], "O": [ 2888 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26488": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2872 ], "I1": [ 347 ], "I2": [ 2873 ], "I3": [ 2285 ], "O": [ 2890 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26489": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2263 ], "I2": [ 2893 ], "I3": [ 2894 ], "O": [ 2891 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26490": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2895 ], "I1": [ 2896 ], "I2": [ 2897 ], "I3": [ "0" ], "O": [ 2892 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26491": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2898 ], "I1": [ 2899 ], "I2": [ 2900 ], "I3": [ "0" ], "O": [ 2889 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26492": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2880 ], "I1": [ 2881 ], "I2": [ 2882 ], "I3": [ "0" ], "O": [ 2898 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26493": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2874 ], "I1": [ 2875 ], "I2": [ 2876 ], "I3": [ "0" ], "O": [ 2899 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26494": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2901 ], "I1": [ 2902 ], "I2": [ 2903 ], "I3": [ "0" ], "O": [ 2900 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26495": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2877 ], "I1": [ 2879 ], "I2": [ 2878 ], "I3": [ "0" ], "O": [ 2884 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26496": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2904 ], "I1": [ 2905 ], "I2": [ 2906 ], "I3": [ "0" ], "O": [ 2907 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26497": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2887 ], "I1": [ 2888 ], "I2": [ 2889 ], "I3": [ "0" ], "O": [ 2904 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26498": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2908 ], "I1": [ 2909 ], "I2": [ 2910 ], "I3": [ "0" ], "O": [ 2906 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26499": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2890 ], "I1": [ 2891 ], "I2": [ 2892 ], "I3": [ "0" ], "O": [ 2908 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26500": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2911 ], "I1": [ 2912 ], "I2": [ 2913 ], "I3": [ "0" ], "O": [ 2909 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26501": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2893 ], "I1": [ 347 ], "I2": [ 2894 ], "I3": [ 2263 ], "O": [ 2911 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26502": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2211 ], "I2": [ 2914 ], "I3": [ 2915 ], "O": [ 2912 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26503": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2916 ], "I1": [ 2917 ], "I2": [ 2918 ], "I3": [ "0" ], "O": [ 2913 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26504": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2919 ], "I1": [ 2920 ], "I2": [ 2921 ], "I3": [ "0" ], "O": [ 2910 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26505": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2901 ], "I1": [ 2902 ], "I2": [ 2903 ], "I3": [ "0" ], "O": [ 2919 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26506": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2895 ], "I1": [ 2896 ], "I2": [ 2897 ], "I3": [ "0" ], "O": [ 2920 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26507": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2922 ], "I1": [ 2923 ], "I2": [ 2924 ], "I3": [ "0" ], "O": [ 2921 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26508": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2898 ], "I1": [ 2900 ], "I2": [ 2899 ], "I3": [ "0" ], "O": [ 2905 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26509": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2925 ], "I1": [ 2926 ], "I2": [ 2927 ], "I3": [ "0" ], "O": [ 2928 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26510": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2908 ], "I1": [ 2909 ], "I2": [ 2910 ], "I3": [ "0" ], "O": [ 2925 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26511": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2929 ], "I1": [ 2930 ], "I2": [ 2931 ], "I3": [ "0" ], "O": [ 2927 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26512": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2911 ], "I1": [ 2912 ], "I2": [ 2913 ], "I3": [ "0" ], "O": [ 2929 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26513": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2932 ], "I1": [ 2933 ], "I2": [ 2934 ], "I3": [ "0" ], "O": [ 2930 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26514": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2914 ], "I1": [ 347 ], "I2": [ 2915 ], "I3": [ 2211 ], "O": [ 2932 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26515": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2212 ], "I2": [ 2935 ], "I3": [ 2936 ], "O": [ 2933 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26516": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2937 ], "I1": [ 2938 ], "I2": [ 2939 ], "I3": [ "0" ], "O": [ 2934 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26517": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2940 ], "I1": [ 2941 ], "I2": [ 2942 ], "I3": [ "0" ], "O": [ 2931 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26518": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2922 ], "I1": [ 2923 ], "I2": [ 2924 ], "I3": [ "0" ], "O": [ 2940 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26519": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2916 ], "I1": [ 2917 ], "I2": [ 2918 ], "I3": [ "0" ], "O": [ 2941 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26520": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2943 ], "I1": [ 2944 ], "I2": [ 2945 ], "I3": [ "0" ], "O": [ 2942 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26521": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2919 ], "I1": [ 2921 ], "I2": [ 2920 ], "I3": [ "0" ], "O": [ 2926 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26522": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2946 ], "I1": [ 2947 ], "I2": [ 2948 ], "I3": [ "0" ], "O": [ 2949 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26523": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2929 ], "I1": [ 2930 ], "I2": [ 2931 ], "I3": [ "0" ], "O": [ 2946 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26524": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2950 ], "I1": [ 2951 ], "I2": [ 2952 ], "I3": [ "0" ], "O": [ 2948 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26525": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2932 ], "I1": [ 2933 ], "I2": [ 2934 ], "I3": [ "0" ], "O": [ 2950 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26526": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2953 ], "I1": [ 2954 ], "I2": [ 2955 ], "I3": [ "0" ], "O": [ 2951 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26527": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2935 ], "I1": [ 347 ], "I2": [ 2936 ], "I3": [ 2212 ], "O": [ 2953 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26528": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2215 ], "I2": [ 2956 ], "I3": [ 2957 ], "O": [ 2954 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26529": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2958 ], "I1": [ 2959 ], "I2": [ 2960 ], "I3": [ "0" ], "O": [ 2955 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26530": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2961 ], "I1": [ 2962 ], "I2": [ 2963 ], "I3": [ "0" ], "O": [ 2952 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26531": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2943 ], "I1": [ 2944 ], "I2": [ 2945 ], "I3": [ "0" ], "O": [ 2961 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26532": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2937 ], "I1": [ 2938 ], "I2": [ 2939 ], "I3": [ "0" ], "O": [ 2962 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26533": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2964 ], "I1": [ 2965 ], "I2": [ 2966 ], "I3": [ "0" ], "O": [ 2963 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26534": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2940 ], "I1": [ 2942 ], "I2": [ 2941 ], "I3": [ "0" ], "O": [ 2947 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26535": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2967 ], "I1": [ 2968 ], "I2": [ 2969 ], "I3": [ "0" ], "O": [ 2970 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26536": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2950 ], "I1": [ 2951 ], "I2": [ 2952 ], "I3": [ "0" ], "O": [ 2967 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26537": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2971 ], "I1": [ 2972 ], "I2": [ 2973 ], "I3": [ "0" ], "O": [ 2969 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26538": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2953 ], "I1": [ 2954 ], "I2": [ 2955 ], "I3": [ "0" ], "O": [ 2971 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26539": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2974 ], "I1": [ 2975 ], "I2": [ 2976 ], "I3": [ "0" ], "O": [ 2972 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26540": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2956 ], "I1": [ 347 ], "I2": [ 2957 ], "I3": [ 2215 ], "O": [ 2974 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26541": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2216 ], "I2": [ 2977 ], "I3": [ 2978 ], "O": [ 2975 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26542": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2979 ], "I1": [ 2980 ], "I2": [ 2981 ], "I3": [ "0" ], "O": [ 2976 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26543": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2982 ], "I1": [ 2983 ], "I2": [ 2984 ], "I3": [ "0" ], "O": [ 2973 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26544": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2964 ], "I1": [ 2965 ], "I2": [ 2966 ], "I3": [ "0" ], "O": [ 2982 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26545": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2958 ], "I1": [ 2959 ], "I2": [ 2960 ], "I3": [ "0" ], "O": [ 2983 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26546": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2985 ], "I1": [ 2986 ], "I2": [ 2987 ], "I3": [ "0" ], "O": [ 2984 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26547": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2961 ], "I1": [ 2963 ], "I2": [ 2962 ], "I3": [ "0" ], "O": [ 2968 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26548": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2988 ], "I1": [ 2989 ], "I2": [ 2990 ], "I3": [ "0" ], "O": [ 2991 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26549": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2971 ], "I1": [ 2972 ], "I2": [ 2973 ], "I3": [ "0" ], "O": [ 2988 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26550": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2992 ], "I1": [ 2993 ], "I2": [ 2994 ], "I3": [ "0" ], "O": [ 2990 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26551": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2974 ], "I1": [ 2975 ], "I2": [ 2976 ], "I3": [ "0" ], "O": [ 2992 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26552": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2995 ], "I1": [ 2996 ], "I2": [ 2997 ], "I3": [ "0" ], "O": [ 2993 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26553": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2977 ], "I1": [ 347 ], "I2": [ 2978 ], "I3": [ 2216 ], "O": [ 2995 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26554": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2221 ], "I2": [ 2998 ], "I3": [ 2999 ], "O": [ 2996 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26555": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3000 ], "I1": [ 3001 ], "I2": [ 3002 ], "I3": [ "0" ], "O": [ 2997 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26556": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3003 ], "I1": [ 3004 ], "I2": [ 3005 ], "I3": [ "0" ], "O": [ 2994 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26557": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2985 ], "I1": [ 2986 ], "I2": [ 2987 ], "I3": [ "0" ], "O": [ 3003 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26558": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2979 ], "I1": [ 2980 ], "I2": [ 2981 ], "I3": [ "0" ], "O": [ 3004 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26559": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3006 ], "I1": [ 3007 ], "I2": [ 3008 ], "I3": [ "0" ], "O": [ 3005 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26560": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2982 ], "I1": [ 2984 ], "I2": [ 2983 ], "I3": [ "0" ], "O": [ 2989 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26561": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3009 ], "I1": [ 3010 ], "I2": [ 3011 ], "I3": [ "0" ], "O": [ 3012 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26562": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2992 ], "I1": [ 2993 ], "I2": [ 2994 ], "I3": [ "0" ], "O": [ 3009 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26563": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3013 ], "I1": [ 3014 ], "I2": [ 3015 ], "I3": [ "0" ], "O": [ 3011 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26564": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2995 ], "I1": [ 2996 ], "I2": [ 2997 ], "I3": [ "0" ], "O": [ 3013 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26565": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3016 ], "I1": [ 3017 ], "I2": [ 3018 ], "I3": [ "0" ], "O": [ 3014 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26566": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2998 ], "I1": [ 347 ], "I2": [ 2999 ], "I3": [ 2221 ], "O": [ 3016 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26567": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2222 ], "I2": [ 3019 ], "I3": [ 3020 ], "O": [ 3017 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26568": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3021 ], "I1": [ 3022 ], "I2": [ 3023 ], "I3": [ "0" ], "O": [ 3018 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26569": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3024 ], "I1": [ 3025 ], "I2": [ 3026 ], "I3": [ "0" ], "O": [ 3015 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26570": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3006 ], "I1": [ 3007 ], "I2": [ 3008 ], "I3": [ "0" ], "O": [ 3024 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26571": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3000 ], "I1": [ 3001 ], "I2": [ 3002 ], "I3": [ "0" ], "O": [ 3025 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26572": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3027 ], "I1": [ 3028 ], "I2": [ 3029 ], "I3": [ "0" ], "O": [ 3026 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26573": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3003 ], "I1": [ 3005 ], "I2": [ 3004 ], "I3": [ "0" ], "O": [ 3010 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26574": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3030 ], "I1": [ 3031 ], "I2": [ 3032 ], "I3": [ "0" ], "O": [ 3033 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26575": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3013 ], "I1": [ 3014 ], "I2": [ 3015 ], "I3": [ "0" ], "O": [ 3030 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26576": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3034 ], "I1": [ 3035 ], "I2": [ 3036 ], "I3": [ "0" ], "O": [ 3032 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26577": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3016 ], "I1": [ 3017 ], "I2": [ 3018 ], "I3": [ "0" ], "O": [ 3034 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26578": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3037 ], "I1": [ 3038 ], "I2": [ 3039 ], "I3": [ "0" ], "O": [ 3035 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26579": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3019 ], "I1": [ 347 ], "I2": [ 3020 ], "I3": [ 2222 ], "O": [ 3037 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26580": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2226 ], "I2": [ 3040 ], "I3": [ 3041 ], "O": [ 3038 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26581": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3042 ], "I1": [ 3043 ], "I2": [ 3044 ], "I3": [ "0" ], "O": [ 3039 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26582": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3045 ], "I1": [ 3046 ], "I2": [ 3047 ], "I3": [ "0" ], "O": [ 3036 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26583": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3027 ], "I1": [ 3028 ], "I2": [ 3029 ], "I3": [ "0" ], "O": [ 3045 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26584": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3021 ], "I1": [ 3022 ], "I2": [ 3023 ], "I3": [ "0" ], "O": [ 3046 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26585": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3048 ], "I1": [ 3049 ], "I2": [ 3050 ], "I3": [ "0" ], "O": [ 3047 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26586": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3024 ], "I1": [ 3026 ], "I2": [ 3025 ], "I3": [ "0" ], "O": [ 3031 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26587": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3051 ], "I1": [ 3052 ], "I2": [ 3053 ], "I3": [ "0" ], "O": [ 3054 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26588": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3034 ], "I1": [ 3035 ], "I2": [ 3036 ], "I3": [ "0" ], "O": [ 3051 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26589": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3055 ], "I1": [ 3056 ], "I2": [ 3057 ], "I3": [ "0" ], "O": [ 3053 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26590": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3037 ], "I1": [ 3038 ], "I2": [ 3039 ], "I3": [ "0" ], "O": [ 3055 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26591": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3058 ], "I1": [ 3059 ], "I2": [ 3060 ], "I3": [ "0" ], "O": [ 3056 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26592": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3040 ], "I1": [ 347 ], "I2": [ 3041 ], "I3": [ 2226 ], "O": [ 3058 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26593": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2225 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3059 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26594": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3063 ], "I1": [ 3064 ], "I2": [ 3065 ], "I3": [ "0" ], "O": [ 3060 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26595": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3066 ], "I1": [ 3067 ], "I2": [ 3068 ], "I3": [ "0" ], "O": [ 3057 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26596": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3048 ], "I1": [ 3049 ], "I2": [ 3050 ], "I3": [ "0" ], "O": [ 3066 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26597": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3042 ], "I1": [ 3043 ], "I2": [ 3044 ], "I3": [ "0" ], "O": [ 3067 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26598": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3069 ], "I1": [ 3070 ], "I2": [ 3071 ], "I3": [ "0" ], "O": [ 3068 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26599": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3045 ], "I1": [ 3047 ], "I2": [ 3046 ], "I3": [ "0" ], "O": [ 3052 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26600": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3072 ], "I1": [ 3073 ], "I2": [ 3074 ], "I3": [ "0" ], "O": [ 3075 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26601": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3055 ], "I1": [ 3056 ], "I2": [ 3057 ], "I3": [ "0" ], "O": [ 3072 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26602": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3076 ], "I1": [ 3077 ], "I2": [ 3078 ], "I3": [ "0" ], "O": [ 3074 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26603": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3058 ], "I1": [ 3059 ], "I2": [ 3060 ], "I3": [ "0" ], "O": [ 3076 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26604": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3079 ], "I2": [ 3080 ], "I3": [ "0" ], "O": [ 3077 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26605": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2225 ], "O": [ 3079 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26606": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2159 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3080 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26607": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 30945 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3069 ], "I1": [ 3070 ], "I2": [ 3081 ], "I3": [ 3071 ], "O": [ 3078 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26608": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 23 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3063 ], "I1": [ 3064 ], "I2": [ 3065 ], "I3": [ "0" ], "O": [ 3081 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26609": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 178 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3066 ], "I1": [ 3068 ], "I2": [ 3067 ], "I3": [ "0" ], "O": [ 3073 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26610": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3082 ], "I1": [ 3083 ], "I2": [ 3084 ], "I3": [ "0" ], "O": [ 3085 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26611": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3076 ], "I1": [ 3077 ], "I2": [ 3078 ], "I3": [ "0" ], "O": [ 3082 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26612": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3086 ], "I2": [ 3087 ], "I3": [ "0" ], "O": [ 3084 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26613": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3080 ], "I2": [ 3079 ], "I3": [ "0" ], "O": [ 3086 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26614": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3088 ], "I2": [ 3089 ], "I3": [ "0" ], "O": [ 3087 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26615": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2159 ], "O": [ 3088 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26616": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2160 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3089 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26617": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32513 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3069 ], "I1": [ 3070 ], "I2": [ 3071 ], "I3": [ 3081 ], "O": [ 3083 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26618": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3090 ], "I1": [ 3083 ], "I2": [ 3091 ], "I3": [ "0" ], "O": [ 3092 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26619": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3087 ], "I2": [ 3086 ], "I3": [ "0" ], "O": [ 3090 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26620": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3093 ], "I2": [ 3094 ], "I3": [ "0" ], "O": [ 3091 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26621": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3089 ], "I2": [ 3088 ], "I3": [ "0" ], "O": [ 3093 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26622": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3095 ], "I2": [ 3096 ], "I3": [ "0" ], "O": [ 3094 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26623": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2160 ], "O": [ 3095 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26624": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2132 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3096 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26625": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3097 ], "I1": [ 3083 ], "I2": [ 3098 ], "I3": [ "0" ], "O": [ 3099 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26626": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3094 ], "I2": [ 3093 ], "I3": [ "0" ], "O": [ 3097 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26627": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3100 ], "I2": [ 3101 ], "I3": [ "0" ], "O": [ 3098 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26628": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3096 ], "I2": [ 3095 ], "I3": [ "0" ], "O": [ 3100 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26629": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3102 ], "I2": [ 3103 ], "I3": [ "0" ], "O": [ 3101 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26630": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2132 ], "O": [ 3102 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26631": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2133 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3103 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26632": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3104 ], "I1": [ 3083 ], "I2": [ 3105 ], "I3": [ "0" ], "O": [ 3106 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26633": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3101 ], "I2": [ 3100 ], "I3": [ "0" ], "O": [ 3104 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26634": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3107 ], "I2": [ 3108 ], "I3": [ "0" ], "O": [ 3105 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26635": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3103 ], "I2": [ 3102 ], "I3": [ "0" ], "O": [ 3107 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26636": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3109 ], "I2": [ 3110 ], "I3": [ "0" ], "O": [ 3108 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26637": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2133 ], "O": [ 3109 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26638": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2136 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3110 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26639": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3111 ], "I1": [ 3083 ], "I2": [ 3112 ], "I3": [ "0" ], "O": [ 3113 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26640": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3108 ], "I2": [ 3107 ], "I3": [ "0" ], "O": [ 3111 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26641": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3114 ], "I2": [ 3115 ], "I3": [ "0" ], "O": [ 3112 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26642": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3110 ], "I2": [ 3109 ], "I3": [ "0" ], "O": [ 3114 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26643": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3116 ], "I2": [ 3117 ], "I3": [ "0" ], "O": [ 3115 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26644": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2136 ], "O": [ 3116 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26645": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2137 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3117 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26646": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3118 ], "I1": [ 3083 ], "I2": [ 3119 ], "I3": [ "0" ], "O": [ 3120 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26647": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3115 ], "I2": [ 3114 ], "I3": [ "0" ], "O": [ 3118 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26648": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3121 ], "I2": [ 3122 ], "I3": [ "0" ], "O": [ 3119 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26649": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3117 ], "I2": [ 3116 ], "I3": [ "0" ], "O": [ 3121 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26650": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3123 ], "I2": [ 3124 ], "I3": [ "0" ], "O": [ 3122 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26651": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2137 ], "O": [ 3123 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26652": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2126 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3124 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26653": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3125 ], "I1": [ 3083 ], "I2": [ 3126 ], "I3": [ "0" ], "O": [ 3127 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26654": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3122 ], "I2": [ 3121 ], "I3": [ "0" ], "O": [ 3125 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26655": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3128 ], "I2": [ 3129 ], "I3": [ "0" ], "O": [ 3126 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26656": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3124 ], "I2": [ 3123 ], "I3": [ "0" ], "O": [ 3128 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26657": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3130 ], "I2": [ 3131 ], "I3": [ "0" ], "O": [ 3129 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26658": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2126 ], "O": [ 3130 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26659": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2127 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3131 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26660": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3132 ], "I1": [ 3083 ], "I2": [ 3133 ], "I3": [ "0" ], "O": [ 3134 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26661": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3129 ], "I2": [ 3128 ], "I3": [ "0" ], "O": [ 3132 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26662": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3135 ], "I2": [ 3136 ], "I3": [ "0" ], "O": [ 3133 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26663": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3131 ], "I2": [ 3130 ], "I3": [ "0" ], "O": [ 3135 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26664": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3137 ], "I2": [ 3138 ], "I3": [ "0" ], "O": [ 3136 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26665": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2127 ], "O": [ 3137 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26666": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2122 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3138 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26667": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3139 ], "I1": [ 3083 ], "I2": [ 3140 ], "I3": [ "0" ], "O": [ 3141 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26668": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3136 ], "I2": [ 3135 ], "I3": [ "0" ], "O": [ 3139 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26669": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3142 ], "I2": [ 3143 ], "I3": [ "0" ], "O": [ 3140 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26670": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3138 ], "I2": [ 3137 ], "I3": [ "0" ], "O": [ 3142 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26671": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3144 ], "I2": [ 3145 ], "I3": [ "0" ], "O": [ 3143 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26672": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2122 ], "O": [ 3144 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26673": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2123 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3145 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26674": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3146 ], "I1": [ 3083 ], "I2": [ 3147 ], "I3": [ "0" ], "O": [ 3148 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26675": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3143 ], "I2": [ 3142 ], "I3": [ "0" ], "O": [ 3146 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26676": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3149 ], "I2": [ 3150 ], "I3": [ "0" ], "O": [ 3147 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26677": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3145 ], "I2": [ 3144 ], "I3": [ "0" ], "O": [ 3149 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26678": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3151 ], "I2": [ 3152 ], "I3": [ "0" ], "O": [ 3150 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26679": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2123 ], "O": [ 3151 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26680": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2142 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3152 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26681": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3153 ], "I1": [ 3083 ], "I2": [ 3154 ], "I3": [ "0" ], "O": [ 3155 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26682": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3150 ], "I2": [ 3149 ], "I3": [ "0" ], "O": [ 3153 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26683": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3156 ], "I2": [ 3157 ], "I3": [ "0" ], "O": [ 3154 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26684": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3152 ], "I2": [ 3151 ], "I3": [ "0" ], "O": [ 3156 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26685": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3158 ], "I2": [ 3159 ], "I3": [ "0" ], "O": [ 3157 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26686": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2142 ], "O": [ 3158 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26687": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2143 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3159 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26688": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3160 ], "I1": [ 3083 ], "I2": [ 3161 ], "I3": [ "0" ], "O": [ 3162 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26689": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3157 ], "I2": [ 3156 ], "I3": [ "0" ], "O": [ 3160 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26690": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3163 ], "I2": [ 3164 ], "I3": [ "0" ], "O": [ 3161 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26691": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3159 ], "I2": [ 3158 ], "I3": [ "0" ], "O": [ 3163 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26692": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3165 ], "I2": [ 3166 ], "I3": [ "0" ], "O": [ 3164 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26693": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2143 ], "O": [ 3165 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26694": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2146 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3166 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26695": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3167 ], "I1": [ 3083 ], "I2": [ 3168 ], "I3": [ "0" ], "O": [ 3169 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26696": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3164 ], "I2": [ 3163 ], "I3": [ "0" ], "O": [ 3167 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26697": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3170 ], "I2": [ 3171 ], "I3": [ "0" ], "O": [ 3168 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26698": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3166 ], "I2": [ 3165 ], "I3": [ "0" ], "O": [ 3170 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26699": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3172 ], "I2": [ 3173 ], "I3": [ "0" ], "O": [ 3171 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26700": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2146 ], "O": [ 3172 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26701": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19380 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2147 ], "I2": [ 3061 ], "I3": [ 3062 ], "O": [ 3173 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26702": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3174 ], "I1": [ 3083 ], "I2": [ 3175 ], "I3": [ "0" ], "O": [ 3176 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26703": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3171 ], "I2": [ 3170 ], "I3": [ "0" ], "O": [ 3174 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26704": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 105 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3177 ], "I2": [ 3178 ], "I3": [ "0" ], "O": [ 3175 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26705": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 113 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3173 ], "I2": [ 3172 ], "I3": [ "0" ], "O": [ 3177 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26706": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3179 ], "I2": [ 3180 ], "I3": [ 3061 ], "O": [ 3178 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26707": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19807 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 347 ], "I2": [ 3062 ], "I3": [ 2147 ], "O": [ 3179 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26708": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 75 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2149 ], "I2": [ 3062 ], "I3": [ "0" ], "O": [ 3180 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26709": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2799 ], "I1": [ 2801 ], "I2": [ 2800 ], "I3": [ "0" ], "O": [ 3181 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26710": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2820 ], "I1": [ 2822 ], "I2": [ 2821 ], "I3": [ "0" ], "O": [ 3182 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26711": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2841 ], "I1": [ 2843 ], "I2": [ 2842 ], "I3": [ "0" ], "O": [ 3183 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26712": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2862 ], "I1": [ 2864 ], "I2": [ 2863 ], "I3": [ "0" ], "O": [ 3184 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26713": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2883 ], "I1": [ 2885 ], "I2": [ 2884 ], "I3": [ "0" ], "O": [ 3185 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26714": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2904 ], "I1": [ 2906 ], "I2": [ 2905 ], "I3": [ "0" ], "O": [ 3186 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26715": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2925 ], "I1": [ 2927 ], "I2": [ 2926 ], "I3": [ "0" ], "O": [ 3187 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26716": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2946 ], "I1": [ 2948 ], "I2": [ 2947 ], "I3": [ "0" ], "O": [ 3188 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26717": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2967 ], "I1": [ 2969 ], "I2": [ 2968 ], "I3": [ "0" ], "O": [ 3189 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26718": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2988 ], "I1": [ 2990 ], "I2": [ 2989 ], "I3": [ "0" ], "O": [ 3190 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26719": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3009 ], "I1": [ 3011 ], "I2": [ 3010 ], "I3": [ "0" ], "O": [ 3191 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26720": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3030 ], "I1": [ 3032 ], "I2": [ 3031 ], "I3": [ "0" ], "O": [ 3192 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26721": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3051 ], "I1": [ 3053 ], "I2": [ 3052 ], "I3": [ "0" ], "O": [ 3193 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26722": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3072 ], "I1": [ 3074 ], "I2": [ 3073 ], "I3": [ "0" ], "O": [ 3194 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26723": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3082 ], "I1": [ 3084 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3195 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26724": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3090 ], "I1": [ 3091 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3196 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26725": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3097 ], "I1": [ 3098 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3197 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26726": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3104 ], "I1": [ 3105 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3198 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26727": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3111 ], "I1": [ 3112 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3199 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26728": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3118 ], "I1": [ 3119 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3200 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26729": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3125 ], "I1": [ 3126 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3201 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26730": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3132 ], "I1": [ 3133 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3202 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26731": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3139 ], "I1": [ 3140 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3203 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26732": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3146 ], "I1": [ 3147 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3204 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26733": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3153 ], "I1": [ 3154 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3205 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26734": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3160 ], "I1": [ 3161 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3206 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26735": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3167 ], "I1": [ 3168 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3207 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26736": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 150 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3174 ], "I1": [ 3175 ], "I2": [ 3083 ], "I3": [ "0" ], "O": [ 3208 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26737": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3209 ], "I1": [ 3083 ], "I2": [ 3210 ], "I3": [ 3211 ], "O": [ 3212 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26738": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 24 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3078 ], "I1": [ 3177 ], "I2": [ 3178 ], "I3": [ "0" ], "O": [ 3209 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26739": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 19924 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3060 ], "I1": [ 3179 ], "I2": [ 3180 ], "I3": [ 3061 ], "O": [ 3210 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26740": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 46155 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 347 ], "I1": [ 2097 ], "I2": [ 3060 ], "I3": [ 3213 ], "O": [ 3211 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26741": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 5905 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3061 ], "I1": [ 3062 ], "I2": [ 347 ], "I3": [ 2149 ], "O": [ 3213 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26742": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2362 ], "I1": [ 2363 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3214 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26743": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 515 ], "I1": [ 2363 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3215 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26744": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3217 ], "I3": [ "0" ], "O": [ 3218 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26745": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3219 ], "I3": [ "0" ], "O": [ 3220 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26746": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3221 ], "I3": [ "0" ], "O": [ 3222 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26747": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3223 ], "I3": [ "0" ], "O": [ 3224 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26748": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3225 ], "I3": [ "0" ], "O": [ 3226 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26749": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3227 ], "I3": [ "0" ], "O": [ 3228 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26750": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ 3229 ], "I3": [ "0" ], "O": [ 3230 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26751": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3216 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3231 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26752": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3233 ], "I3": [ "0" ], "O": [ 3234 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26753": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3235 ], "I3": [ "0" ], "O": [ 3236 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26754": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3237 ], "I3": [ "0" ], "O": [ 3238 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26755": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3239 ], "I3": [ "0" ], "O": [ 3240 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26756": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3241 ], "I3": [ "0" ], "O": [ 3242 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26757": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3243 ], "I3": [ "0" ], "O": [ 3244 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26758": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3232 ], "I1": [ 358 ], "I2": [ 3245 ], "I3": [ "0" ], "O": [ 3246 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26759": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 3232 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3247 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26760": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 27 ], "I1": [ 26 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3248 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26761": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3249 ], "I1": [ 3250 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3251 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26762": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3252 ], "I2": [ 3253 ], "I3": [ 142 ], "O": [ 3250 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26763": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3254 ], "I3": [ "0" ], "O": [ 3249 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26764": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3255 ], "I1": [ 3256 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3257 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26765": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3258 ], "I2": [ 3259 ], "I3": [ 142 ], "O": [ 3256 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26766": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3260 ], "I3": [ "0" ], "O": [ 3255 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26767": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3261 ], "I1": [ 3262 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3263 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26768": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3264 ], "I2": [ 3265 ], "I3": [ 142 ], "O": [ 3262 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26769": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3266 ], "I3": [ "0" ], "O": [ 3261 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26770": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3267 ], "I1": [ 3268 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3269 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26771": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3270 ], "I2": [ 3271 ], "I3": [ 142 ], "O": [ 3268 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26772": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3272 ], "I3": [ "0" ], "O": [ 3267 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26773": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3273 ], "I1": [ 3274 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3275 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26774": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3276 ], "I2": [ 3277 ], "I3": [ 142 ], "O": [ 3274 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26775": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3278 ], "I3": [ "0" ], "O": [ 3273 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26776": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3279 ], "I1": [ 3280 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3281 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26777": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3282 ], "I2": [ 3283 ], "I3": [ 142 ], "O": [ 3280 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26778": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3284 ], "I3": [ "0" ], "O": [ 3279 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26779": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3285 ], "I1": [ 3286 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3287 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26780": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3288 ], "I2": [ 3289 ], "I3": [ 142 ], "O": [ 3286 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26781": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3290 ], "I3": [ "0" ], "O": [ 3285 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26782": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3291 ], "I1": [ 3292 ], "I2": [ 135 ], "I3": [ 137 ], "O": [ 3293 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26783": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 3294 ], "I2": [ 3295 ], "I3": [ 142 ], "O": [ 3292 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26784": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 142 ], "I1": [ 174 ], "I2": [ 3296 ], "I3": [ "0" ], "O": [ 3291 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26785": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3297 ], "I3": [ "0" ], "O": [ 3298 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26786": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3299 ], "I1": [ 3300 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3297 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26787": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3254 ], "I1": [ 3252 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3299 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26788": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3301 ], "I3": [ "0" ], "O": [ 3302 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26789": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3303 ], "I1": [ 3304 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3301 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26790": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3260 ], "I1": [ 3258 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3303 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26791": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3305 ], "I3": [ "0" ], "O": [ 3306 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26792": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3307 ], "I1": [ 3308 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3305 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26793": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3266 ], "I1": [ 3264 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3307 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26794": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3309 ], "I3": [ "0" ], "O": [ 3310 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26795": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3311 ], "I1": [ 3312 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3309 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26796": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3272 ], "I1": [ 3270 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3311 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26797": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3313 ], "I3": [ "0" ], "O": [ 3314 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26798": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3315 ], "I1": [ 3316 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3313 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26799": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3278 ], "I1": [ 3276 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3315 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26800": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3317 ], "I3": [ "0" ], "O": [ 3318 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26801": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3319 ], "I1": [ 3320 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3317 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26802": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3284 ], "I1": [ 3282 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3319 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26803": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3321 ], "I3": [ "0" ], "O": [ 3322 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26804": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3323 ], "I1": [ 3324 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3321 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26805": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3290 ], "I1": [ 3288 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3323 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26806": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 137 ], "I2": [ 3325 ], "I3": [ "0" ], "O": [ 3326 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26807": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3327 ], "I1": [ 3328 ], "I2": [ 142 ], "I3": [ "0" ], "O": [ 3325 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26808": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3296 ], "I1": [ 3294 ], "I2": [ 174 ], "I3": [ "0" ], "O": [ 3327 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26809": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3250 ], "I1": [ 137 ], "I2": [ 3329 ], "I3": [ 135 ], "O": [ 3330 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26810": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3249 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3329 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26811": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3256 ], "I1": [ 137 ], "I2": [ 3331 ], "I3": [ 135 ], "O": [ 3332 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26812": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3255 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3331 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26813": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3262 ], "I1": [ 137 ], "I2": [ 3333 ], "I3": [ 135 ], "O": [ 3334 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26814": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3261 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3333 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26815": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3268 ], "I1": [ 137 ], "I2": [ 3335 ], "I3": [ 135 ], "O": [ 3336 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26816": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3267 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3335 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26817": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3274 ], "I1": [ 137 ], "I2": [ 3337 ], "I3": [ 135 ], "O": [ 3338 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26818": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3273 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3337 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26819": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3280 ], "I1": [ 137 ], "I2": [ 3339 ], "I3": [ 135 ], "O": [ 3340 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26820": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3279 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3339 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26821": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3286 ], "I1": [ 137 ], "I2": [ 3341 ], "I3": [ 135 ], "O": [ 3342 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26822": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3285 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3341 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26823": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 17648 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3292 ], "I1": [ 137 ], "I2": [ 3343 ], "I3": [ 135 ], "O": [ 3344 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26824": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3291 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3343 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26825": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3297 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3345 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26826": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3301 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3346 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26827": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3305 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3347 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26828": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3309 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3348 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26829": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3313 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3349 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26830": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3317 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3350 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26831": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3321 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3351 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26832": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 3325 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3352 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26833": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3249 ], "I1": [ 3250 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3353 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26834": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3255 ], "I1": [ 3256 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3354 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26835": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3261 ], "I1": [ 3262 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3355 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26836": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3267 ], "I1": [ 3268 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3356 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26837": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3273 ], "I1": [ 3274 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3357 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26838": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3279 ], "I1": [ 3280 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3358 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26839": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3285 ], "I1": [ 3286 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3359 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26840": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 41728 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3291 ], "I1": [ 3292 ], "I2": [ 137 ], "I3": [ 135 ], "O": [ 3360 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26841": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3297 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3361 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26842": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3301 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3362 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26843": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3305 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3363 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26844": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3309 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3364 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26845": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3313 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3365 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26846": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3317 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3366 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26847": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3321 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3367 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26848": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 3325 ], "I2": [ 135 ], "I3": [ "0" ], "O": [ 3368 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26849": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3250 ], "I1": [ 137 ], "I2": [ 3329 ], "I3": [ 135 ], "O": [ 3369 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26850": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3256 ], "I1": [ 137 ], "I2": [ 3331 ], "I3": [ 135 ], "O": [ 3370 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26851": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3262 ], "I1": [ 137 ], "I2": [ 3333 ], "I3": [ 135 ], "O": [ 3371 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26852": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3268 ], "I1": [ 137 ], "I2": [ 3335 ], "I3": [ 135 ], "O": [ 3372 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26853": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3274 ], "I1": [ 137 ], "I2": [ 3337 ], "I3": [ 135 ], "O": [ 3373 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26854": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3280 ], "I1": [ 137 ], "I2": [ 3339 ], "I3": [ 135 ], "O": [ 3374 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26855": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3286 ], "I1": [ 137 ], "I2": [ 3341 ], "I3": [ 135 ], "O": [ 3375 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26856": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3292 ], "I1": [ 137 ], "I2": [ 3343 ], "I3": [ 135 ], "O": [ 3376 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26857": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3297 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3377 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26858": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3301 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3378 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26859": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3305 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3379 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26860": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3309 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3380 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26861": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3313 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3381 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26862": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3317 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3382 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26863": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3321 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3383 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26864": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ 3325 ], "I2": [ 137 ], "I3": [ "0" ], "O": [ 3384 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26865": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3385 ], "I1": [ 3386 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3388 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26866": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3389 ], "I1": [ 3390 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3386 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26867": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3392 ], "I1": [ 3393 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3385 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26868": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3394 ], "I1": [ 3395 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3396 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26869": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3397 ], "I1": [ 3398 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3395 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26870": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3399 ], "I1": [ 3400 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3394 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26871": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3401 ], "I1": [ 3402 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3403 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26872": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3404 ], "I1": [ 3405 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3402 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26873": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3406 ], "I1": [ 3407 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3401 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26874": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3408 ], "I1": [ 3409 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3410 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26875": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3411 ], "I1": [ 3412 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3409 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26876": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3413 ], "I1": [ 3414 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3408 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26877": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3415 ], "I1": [ 3416 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3417 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26878": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3418 ], "I1": [ 3419 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3416 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26879": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3420 ], "I1": [ 3421 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3415 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26880": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3422 ], "I1": [ 3423 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3424 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26881": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3425 ], "I1": [ 3426 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3423 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26882": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3427 ], "I1": [ 3428 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3422 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26883": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3429 ], "I1": [ 3430 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3431 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26884": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3432 ], "I1": [ 3433 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3430 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26885": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3434 ], "I1": [ 3435 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3429 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26886": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3436 ], "I1": [ 3437 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3438 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26887": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3439 ], "I1": [ 3440 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3437 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26888": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3441 ], "I1": [ 3442 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3436 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26889": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3443 ], "I1": [ 3444 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3445 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26890": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3446 ], "I1": [ 3447 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3444 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26891": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3448 ], "I1": [ 3449 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3443 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26892": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3450 ], "I1": [ 3451 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3452 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26893": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3453 ], "I1": [ 3454 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3451 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26894": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3455 ], "I1": [ 3456 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3450 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26895": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3457 ], "I1": [ 3458 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3459 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26896": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3460 ], "I1": [ 3461 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3458 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26897": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3462 ], "I1": [ 3463 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3457 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26898": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3464 ], "I1": [ 3465 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3466 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26899": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3467 ], "I1": [ 3468 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3465 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26900": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3469 ], "I1": [ 3470 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3464 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26901": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3471 ], "I1": [ 3472 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3473 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26902": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3474 ], "I1": [ 3475 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3472 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26903": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3476 ], "I1": [ 3477 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3471 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26904": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3478 ], "I1": [ 3479 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3480 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26905": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3481 ], "I1": [ 3482 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3479 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26906": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3483 ], "I1": [ 3484 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3478 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26907": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3485 ], "I1": [ 3486 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3487 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26908": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3488 ], "I1": [ 3489 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3486 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26909": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3490 ], "I1": [ 3491 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3485 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26910": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3492 ], "I1": [ 3493 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3494 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26911": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3495 ], "I1": [ 3496 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3493 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26912": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3497 ], "I1": [ 3498 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3492 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26913": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3499 ], "I1": [ 3500 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3501 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26914": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3390 ], "I1": [ 3393 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3500 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26915": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3389 ], "I1": [ 3392 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3499 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26916": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3502 ], "I1": [ 3503 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3504 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26917": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3398 ], "I1": [ 3399 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3503 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26918": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3400 ], "I1": [ 3397 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3502 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26919": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3505 ], "I1": [ 3506 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3507 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26920": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3405 ], "I1": [ 3406 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3506 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26921": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3407 ], "I1": [ 3404 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3505 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26922": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3508 ], "I1": [ 3509 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3510 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26923": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3412 ], "I1": [ 3413 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3509 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26924": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3414 ], "I1": [ 3411 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3508 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26925": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3511 ], "I1": [ 3512 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3513 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26926": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3419 ], "I1": [ 3420 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3512 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26927": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3421 ], "I1": [ 3418 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3511 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26928": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3514 ], "I1": [ 3515 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3516 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26929": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3426 ], "I1": [ 3427 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3515 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26930": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3428 ], "I1": [ 3425 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3514 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26931": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3517 ], "I1": [ 3518 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3519 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26932": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3433 ], "I1": [ 3434 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3518 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26933": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3435 ], "I1": [ 3432 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3517 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26934": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3520 ], "I1": [ 3521 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3522 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26935": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3440 ], "I1": [ 3441 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3521 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26936": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3442 ], "I1": [ 3439 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3520 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26937": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3523 ], "I1": [ 3524 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3525 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26938": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3447 ], "I1": [ 3448 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3524 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26939": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3449 ], "I1": [ 3446 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3523 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26940": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3526 ], "I1": [ 3527 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3528 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26941": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3454 ], "I1": [ 3455 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3527 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26942": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3456 ], "I1": [ 3453 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3526 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26943": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3529 ], "I1": [ 3530 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3531 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26944": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3461 ], "I1": [ 3462 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3530 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26945": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3463 ], "I1": [ 3460 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3529 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26946": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3532 ], "I1": [ 3533 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3534 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26947": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3468 ], "I1": [ 3469 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3533 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26948": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3470 ], "I1": [ 3467 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3532 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26949": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3535 ], "I1": [ 3536 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3537 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26950": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3475 ], "I1": [ 3476 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3536 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26951": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3477 ], "I1": [ 3474 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3535 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26952": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3538 ], "I1": [ 3539 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3540 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26953": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3482 ], "I1": [ 3483 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3539 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26954": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3484 ], "I1": [ 3481 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3538 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26955": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3541 ], "I1": [ 3542 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3543 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26956": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3489 ], "I1": [ 3490 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3542 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26957": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3491 ], "I1": [ 3488 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3541 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26958": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 83 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3544 ], "I1": [ 3545 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3546 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26959": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3496 ], "I1": [ 3497 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3545 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26960": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3498 ], "I1": [ 3495 ], "I2": [ 3391 ], "I3": [ "0" ], "O": [ 3544 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26961": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3385 ], "I1": [ 3386 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3547 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26962": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3394 ], "I1": [ 3395 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3548 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26963": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3401 ], "I1": [ 3402 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3549 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26964": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3408 ], "I1": [ 3409 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3550 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26965": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3415 ], "I1": [ 3416 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3551 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26966": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3422 ], "I1": [ 3423 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3552 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26967": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3429 ], "I1": [ 3430 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3553 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26968": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3436 ], "I1": [ 3437 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3554 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26969": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3443 ], "I1": [ 3444 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3555 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26970": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3450 ], "I1": [ 3451 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3556 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26971": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3457 ], "I1": [ 3458 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3557 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26972": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3464 ], "I1": [ 3465 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3558 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26973": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3471 ], "I1": [ 3472 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3559 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26974": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3478 ], "I1": [ 3479 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3560 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26975": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3485 ], "I1": [ 3486 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3561 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26976": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3492 ], "I1": [ 3493 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3562 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26977": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3499 ], "I1": [ 3500 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3563 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26978": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3502 ], "I1": [ 3503 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3564 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26979": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3505 ], "I1": [ 3506 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3565 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26980": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3508 ], "I1": [ 3509 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3566 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26981": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3511 ], "I1": [ 3512 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3567 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26982": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3514 ], "I1": [ 3515 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3568 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26983": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3517 ], "I1": [ 3518 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3569 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26984": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3520 ], "I1": [ 3521 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3570 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26985": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3523 ], "I1": [ 3524 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3571 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26986": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3526 ], "I1": [ 3527 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3572 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26987": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3529 ], "I1": [ 3530 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3573 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26988": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3532 ], "I1": [ 3533 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3574 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26989": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3535 ], "I1": [ 3536 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3575 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26990": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3538 ], "I1": [ 3539 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3576 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26991": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3541 ], "I1": [ 3542 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3577 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26992": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3544 ], "I1": [ 3545 ], "I2": [ 3387 ], "I3": [ "0" ], "O": [ 3578 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26993": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 11 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3579 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26994": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 65 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3580 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26995": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 25 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3581 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26996": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 58 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3582 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26997": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3583 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26998": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 257 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3584 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$26999": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 154 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3585 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27000": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3586 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3587 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27001": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 310 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3588 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27002": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 773 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3589 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27003": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 140 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3590 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27004": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 139 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3591 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27005": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 373 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3592 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27006": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 377 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3593 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27007": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 413 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3594 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27008": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 390 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3595 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27009": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 247 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3596 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27010": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 382 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3597 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27011": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 370 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3598 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27012": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 248 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3599 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27013": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 384 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3600 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27014": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 393 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3601 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27015": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 190 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3602 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27020": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 35056 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 43 ], "I1": [ 50 ], "I2": [ 51 ], "I3": [ 30 ], "O": [ 3603 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27021": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 29 ], "I2": [ 23 ], "I3": [ 3603 ], "O": [ 27 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27022": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 377 ], "I1": [ 373 ], "I2": [ 382 ], "I3": [ 379 ], "O": [ 3604 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27023": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 370 ], "I1": [ 366 ], "I2": [ 390 ], "I3": [ 388 ], "O": [ 3605 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27024": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36873 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 384 ], "I1": [ 381 ], "I2": [ 393 ], "I3": [ 360 ], "O": [ 3606 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27025": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32767 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3604 ], "I1": [ 3605 ], "I2": [ 3606 ], "I3": [ 250 ], "O": [ 245 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27026": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14899 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3607 ], "I1": [ 530 ], "I2": [ 73 ], "I3": [ 61 ], "O": [ 3608 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27027": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 482 ], "I1": [ 481 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 3609 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27028": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3610 ], "I1": [ 3608 ], "I2": [ 3609 ], "I3": [ "0" ], "O": [ 462 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27029": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4078 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 773 ], "I1": [ 193 ], "I2": [ 1575 ], "I3": [ 194 ], "O": [ 3611 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27030": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 772 ], "I1": [ 3611 ], "I2": [ 3612 ], "I3": [ 11 ], "O": [ 3613 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27031": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 775 ], "I2": [ 1580 ], "I3": [ 194 ], "O": [ 3614 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27032": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 778 ], "I1": [ 3614 ], "I2": [ 3615 ], "I3": [ 11 ], "O": [ 3616 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27033": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3617 ], "I2": [ 1585 ], "I3": [ 194 ], "O": [ 3618 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27034": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 781 ], "I1": [ 3618 ], "I2": [ 3619 ], "I3": [ 11 ], "O": [ 3620 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27035": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3621 ], "I2": [ 1590 ], "I3": [ 194 ], "O": [ 3622 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27036": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 784 ], "I1": [ 3622 ], "I2": [ 3623 ], "I3": [ 11 ], "O": [ 3624 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27037": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3625 ], "I2": [ 1595 ], "I3": [ 194 ], "O": [ 3626 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27038": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 787 ], "I1": [ 3626 ], "I2": [ 3627 ], "I3": [ 11 ], "O": [ 3628 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27039": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3629 ], "I2": [ 1600 ], "I3": [ 194 ], "O": [ 3630 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27040": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 790 ], "I1": [ 3630 ], "I2": [ 3631 ], "I3": [ 11 ], "O": [ 3632 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27041": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3633 ], "I2": [ 1605 ], "I3": [ 194 ], "O": [ 3634 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27042": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 793 ], "I1": [ 3634 ], "I2": [ 3635 ], "I3": [ 11 ], "O": [ 3636 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27043": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3637 ], "I2": [ 1610 ], "I3": [ 194 ], "O": [ 3638 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27044": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 796 ], "I1": [ 3638 ], "I2": [ 3639 ], "I3": [ 11 ], "O": [ 3640 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27045": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3641 ], "I2": [ 1615 ], "I3": [ 194 ], "O": [ 3642 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27046": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 799 ], "I1": [ 3642 ], "I2": [ 3643 ], "I3": [ 11 ], "O": [ 3644 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27047": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3645 ], "I2": [ 1620 ], "I3": [ 194 ], "O": [ 3646 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27048": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 802 ], "I1": [ 3646 ], "I2": [ 3647 ], "I3": [ 11 ], "O": [ 3648 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27049": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3649 ], "I2": [ 1625 ], "I3": [ 194 ], "O": [ 3650 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27050": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 805 ], "I1": [ 3650 ], "I2": [ 3651 ], "I3": [ 11 ], "O": [ 3652 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27051": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3653 ], "I2": [ 1630 ], "I3": [ 194 ], "O": [ 3654 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27052": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 808 ], "I1": [ 3654 ], "I2": [ 3655 ], "I3": [ 11 ], "O": [ 3656 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27053": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3657 ], "I2": [ 1635 ], "I3": [ 194 ], "O": [ 3658 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27054": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 811 ], "I1": [ 3658 ], "I2": [ 3659 ], "I3": [ 11 ], "O": [ 3660 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27055": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3661 ], "I2": [ 1640 ], "I3": [ 194 ], "O": [ 3662 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27056": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 814 ], "I1": [ 3662 ], "I2": [ 3663 ], "I3": [ 11 ], "O": [ 3664 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27057": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4027 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 193 ], "I1": [ 3665 ], "I2": [ 1645 ], "I3": [ 194 ], "O": [ 3666 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27058": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48112 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 817 ], "I1": [ 3666 ], "I2": [ 3667 ], "I3": [ 11 ], "O": [ 3668 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27059": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14899 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3669 ], "I1": [ 1139 ], "I2": [ 73 ], "I3": [ 61 ], "O": [ 3670 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27060": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 482 ], "I1": [ 481 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 3671 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27061": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3672 ], "I1": [ 3670 ], "I2": [ 3671 ], "I3": [ "0" ], "O": [ 3673 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27062": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14899 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3674 ], "I1": [ 1142 ], "I2": [ 73 ], "I3": [ 61 ], "O": [ 3675 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27063": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 482 ], "I1": [ 481 ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 3676 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27064": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3677 ], "I1": [ 3675 ], "I2": [ 3676 ], "I3": [ "0" ], "O": [ 3678 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27065": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43580 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3679 ], "I1": [ 1655 ], "I2": [ 1683 ], "I3": [ 343 ], "O": [ 3680 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27066": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 3680 ], "I2": [ 3681 ], "I3": [ 1648 ], "O": [ 3682 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27067": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 43580 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3683 ], "I1": [ 1684 ], "I2": [ 1689 ], "I3": [ 343 ], "O": [ 3684 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27068": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61508 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 336 ], "I1": [ 3684 ], "I2": [ 3685 ], "I3": [ 1648 ], "O": [ 3686 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27069": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 49151 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2027 ], "I1": [ 1864 ], "I2": [ 2024 ], "I3": [ 2025 ], "O": [ 3687 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27070": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53248 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3687 ], "I1": [ 1921 ], "I2": [ 2050 ], "I3": [ 2052 ], "O": [ 3688 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27071": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 57582 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1982 ], "I1": [ 1862 ], "I2": [ 2045 ], "I3": [ 3688 ], "O": [ 1856 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27072": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16288 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1967 ], "I1": [ 1855 ], "I2": [ 1871 ], "I3": [ 1872 ], "O": [ 3689 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27073": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64522 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1869 ], "I1": [ 1974 ], "I2": [ 1871 ], "I3": [ 3689 ], "O": [ 1970 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27074": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61678 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2070 ], "I1": [ 2075 ], "I2": [ 1948 ], "I3": [ 1922 ], "O": [ 3690 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27075": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13253 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3690 ], "I1": [ 1855 ], "I2": [ 1921 ], "I3": [ 1856 ], "O": [ 3691 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27076": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61678 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2093 ], "I1": [ 2094 ], "I2": [ 1947 ], "I3": [ 1922 ], "O": [ 3692 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27077": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13253 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3692 ], "I1": [ 1855 ], "I2": [ 1921 ], "I3": [ 1856 ], "O": [ 3693 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27078": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61678 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2095 ], "I1": [ 2096 ], "I2": [ 1976 ], "I3": [ 1922 ], "O": [ 3694 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27079": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13253 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3694 ], "I1": [ 1855 ], "I2": [ 1921 ], "I3": [ 1856 ], "O": [ 3695 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27080": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53152 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2167 ], "I1": [ 2164 ], "I2": [ 1875 ], "I3": [ 1872 ], "O": [ 3696 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27081": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64522 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2166 ], "I1": [ 2165 ], "I2": [ 1875 ], "I3": [ 3696 ], "O": [ 2163 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27082": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4351 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2109 ], "I1": [ 2110 ], "I2": [ 2248 ], "I3": [ 1923 ], "O": [ 3697 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27083": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2198 ], "I1": [ 2199 ], "I2": [ 2253 ], "I3": [ 3697 ], "O": [ 3698 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27084": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2254 ], "I1": [ 2255 ], "I2": [ 2272 ], "I3": [ 2273 ], "O": [ 3699 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27085": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2270 ], "I1": [ 3698 ], "I2": [ 3699 ], "I3": [ 2097 ], "O": [ 2100 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27086": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61457 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2121 ], "I1": [ 2293 ], "I2": [ 2292 ], "I3": [ 1875 ], "O": [ 3700 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27087": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2290 ], "I1": [ 3700 ], "I2": [ 1872 ], "I3": [ "0" ], "O": [ 3701 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27088": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 44287 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2241 ], "I1": [ 3701 ], "I2": [ 1871 ], "I3": [ 1923 ], "O": [ 3702 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27089": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3702 ], "I1": [ 2294 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 3703 ] } }, "$abc$24763$auto$blifparse.cc:492:parse_blif$27090": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13109 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 2097 ], "I1": [ 3703 ], "I2": [ 2099 ], "I3": [ 2100 ], "O": [ 3704 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 277 ], "I3": [ "0" ], "O": [ 1138 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 287 ], "I3": [ 3705 ], "O": [ 1141 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 277 ], "CO": [ 3706 ], "I0": [ "0" ], "I1": [ 269 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 273 ], "I3": [ 3706 ], "O": [ 488 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3706 ], "CO": [ 3707 ], "I0": [ "0" ], "I1": [ 273 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 261 ], "I3": [ 3707 ], "O": [ 494 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3707 ], "CO": [ 3708 ], "I0": [ "0" ], "I1": [ 261 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 281 ], "I3": [ 3708 ], "O": [ 506 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3708 ], "CO": [ 3709 ], "I0": [ "0" ], "I1": [ 281 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 271 ], "I3": [ 3709 ], "O": [ 477 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3709 ], "CO": [ 3710 ], "I0": [ "0" ], "I1": [ 271 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 285 ], "I3": [ 3710 ], "O": [ 528 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3710 ], "CO": [ 3711 ], "I0": [ "0" ], "I1": [ 285 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 275 ], "I3": [ 3711 ], "O": [ 501 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3711 ], "CO": [ 3712 ], "I0": [ "0" ], "I1": [ 275 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 283 ], "I3": [ 3712 ], "O": [ 520 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3712 ], "CO": [ 3713 ], "I0": [ "0" ], "I1": [ 283 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 279 ], "I3": [ 3713 ], "O": [ 529 ] } }, "$auto$alumacc.cc:474:replace_alu$1671.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3713 ], "CO": [ 3705 ], "I0": [ "0" ], "I1": [ 279 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 303 ], "I2": [ 277 ], "I3": [ "0" ], "O": [ 3669 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3714 ], "I0": [ 303 ], "I1": [ 277 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 287 ], "I3": [ 3715 ], "O": [ 3674 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 269 ], "I3": [ 3714 ], "O": [ 516 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3714 ], "CO": [ 3716 ], "I0": [ "0" ], "I1": [ 269 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 273 ], "I3": [ 3716 ], "O": [ 489 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3716 ], "CO": [ 3717 ], "I0": [ "0" ], "I1": [ 273 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 261 ], "I3": [ 3717 ], "O": [ 495 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3717 ], "CO": [ 3718 ], "I0": [ "0" ], "I1": [ 261 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 281 ], "I3": [ 3718 ], "O": [ 507 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3718 ], "CO": [ 3719 ], "I0": [ "0" ], "I1": [ 281 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 271 ], "I3": [ 3719 ], "O": [ 483 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3719 ], "CO": [ 3720 ], "I0": [ "0" ], "I1": [ 271 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 285 ], "I3": [ 3720 ], "O": [ 527 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3720 ], "CO": [ 3721 ], "I0": [ "0" ], "I1": [ 285 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 275 ], "I3": [ 3721 ], "O": [ 502 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3721 ], "CO": [ 3722 ], "I0": [ "0" ], "I1": [ 275 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 283 ], "I3": [ 3722 ], "O": [ 521 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3722 ], "CO": [ 3723 ], "I0": [ "0" ], "I1": [ 283 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 279 ], "I3": [ 3723 ], "O": [ 3607 ] } }, "$auto$alumacc.cc:474:replace_alu$1674.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3723 ], "CO": [ 3715 ], "I0": [ "0" ], "I1": [ 279 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 277 ], "I3": [ "0" ], "O": [ 3672 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 287 ], "I3": [ 3724 ], "O": [ 3677 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 269 ], "CO": [ 3725 ], "I0": [ "0" ], "I1": [ 273 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 261 ], "I3": [ 3725 ], "O": [ 496 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3725 ], "CO": [ 3726 ], "I0": [ "0" ], "I1": [ 261 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 281 ], "I3": [ 3726 ], "O": [ 508 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3726 ], "CO": [ 3727 ], "I0": [ "0" ], "I1": [ 281 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 271 ], "I3": [ 3727 ], "O": [ 484 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3727 ], "CO": [ 3728 ], "I0": [ "0" ], "I1": [ 271 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 285 ], "I3": [ 3728 ], "O": [ 526 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3728 ], "CO": [ 3729 ], "I0": [ "0" ], "I1": [ 285 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 275 ], "I3": [ 3729 ], "O": [ 503 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3729 ], "CO": [ 3730 ], "I0": [ "0" ], "I1": [ 275 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 283 ], "I3": [ 3730 ], "O": [ 522 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3730 ], "CO": [ 3731 ], "I0": [ "0" ], "I1": [ 283 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 279 ], "I3": [ 3731 ], "O": [ 3610 ] } }, "$auto$alumacc.cc:474:replace_alu$1677.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3731 ], "CO": [ 3724 ], "I0": [ "0" ], "I1": [ 279 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 165 ], "I3": [ "0" ], "O": [ 1147 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1046 ], "I3": [ 3732 ], "O": [ 1108 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3732 ], "CO": [ 3733 ], "I0": [ "0" ], "I1": [ 1046 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1055 ], "I3": [ 3733 ], "O": [ 1111 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3733 ], "CO": [ 3734 ], "I0": [ "0" ], "I1": [ 1055 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1064 ], "I3": [ 3734 ], "O": [ 1114 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3734 ], "CO": [ 3735 ], "I0": [ "0" ], "I1": [ 1064 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1073 ], "I3": [ 3735 ], "O": [ 1117 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3735 ], "CO": [ 3736 ], "I0": [ "0" ], "I1": [ 1073 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1082 ], "I3": [ 3736 ], "O": [ 1120 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3736 ], "CO": [ 3737 ], "I0": [ "0" ], "I1": [ 1082 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1091 ], "I3": [ 3737 ], "O": [ 1123 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 165 ], "CO": [ 3738 ], "I0": [ "0" ], "I1": [ 141 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 974 ], "I3": [ 3738 ], "O": [ 1153 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3738 ], "CO": [ 3739 ], "I0": [ "0" ], "I1": [ 974 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 983 ], "I3": [ 3739 ], "O": [ 1156 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3739 ], "CO": [ 3740 ], "I0": [ "0" ], "I1": [ 983 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 992 ], "I3": [ 3740 ], "O": [ 1159 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3740 ], "CO": [ 3741 ], "I0": [ "0" ], "I1": [ 992 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1001 ], "I3": [ 3741 ], "O": [ 1162 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3741 ], "CO": [ 3742 ], "I0": [ "0" ], "I1": [ 1001 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1010 ], "I3": [ 3742 ], "O": [ 1165 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3742 ], "CO": [ 3743 ], "I0": [ "0" ], "I1": [ 1010 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1019 ], "I3": [ 3743 ], "O": [ 1168 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3743 ], "CO": [ 3744 ], "I0": [ "0" ], "I1": [ 1019 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1028 ], "I3": [ 3744 ], "O": [ 1101 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3744 ], "CO": [ 3745 ], "I0": [ "0" ], "I1": [ 1028 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1037 ], "I3": [ 3745 ], "O": [ 1105 ] } }, "$auto$alumacc.cc:474:replace_alu$1680.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3745 ], "CO": [ 3732 ], "I0": [ "0" ], "I1": [ 1037 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 536 ], "I2": [ 535 ], "I3": [ "0" ], "O": [ 3746 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3747 ], "I0": [ 536 ], "I1": [ 535 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 534 ], "I3": [ 3747 ], "O": [ 3748 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3747 ], "CO": [ 3749 ], "I0": [ "0" ], "I1": [ 534 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 533 ], "I3": [ 3749 ], "O": [ 3750 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3749 ], "CO": [ 3751 ], "I0": [ "0" ], "I1": [ 533 ] } }, "$auto$alumacc.cc:474:replace_alu$1683.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 532 ], "I3": [ 3751 ], "O": [ 3752 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 680 ], "I2": [ 615 ], "I3": [ "0" ], "O": [ 3753 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3754 ], "I0": [ 680 ], "I1": [ 615 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 710 ], "I2": [ 635 ], "I3": [ 3755 ], "O": [ 3756 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3755 ], "CO": [ 3757 ], "I0": [ 710 ], "I1": [ 635 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 713 ], "I2": [ 637 ], "I3": [ 3757 ], "O": [ 3758 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3757 ], "CO": [ 3759 ], "I0": [ 713 ], "I1": [ 637 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 716 ], "I2": [ 639 ], "I3": [ 3759 ], "O": [ 3760 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3759 ], "CO": [ 3761 ], "I0": [ 716 ], "I1": [ 639 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 719 ], "I2": [ 641 ], "I3": [ 3761 ], "O": [ 3762 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3761 ], "CO": [ 3763 ], "I0": [ 719 ], "I1": [ 641 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 722 ], "I2": [ 643 ], "I3": [ 3763 ], "O": [ 3764 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3763 ], "CO": [ 3765 ], "I0": [ 722 ], "I1": [ 643 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 725 ], "I2": [ 645 ], "I3": [ 3765 ], "O": [ 3766 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3765 ], "CO": [ 3767 ], "I0": [ 725 ], "I1": [ 645 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 728 ], "I2": [ 647 ], "I3": [ 3767 ], "O": [ 3768 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 683 ], "I2": [ 617 ], "I3": [ 3754 ], "O": [ 3769 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3754 ], "CO": [ 3770 ], "I0": [ 683 ], "I1": [ 617 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 686 ], "I2": [ 619 ], "I3": [ 3770 ], "O": [ 3771 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3770 ], "CO": [ 3772 ], "I0": [ 686 ], "I1": [ 619 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 689 ], "I2": [ 621 ], "I3": [ 3772 ], "O": [ 3773 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3772 ], "CO": [ 3774 ], "I0": [ 689 ], "I1": [ 621 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 692 ], "I2": [ 623 ], "I3": [ 3774 ], "O": [ 3775 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3774 ], "CO": [ 3776 ], "I0": [ 692 ], "I1": [ 623 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 695 ], "I2": [ 625 ], "I3": [ 3776 ], "O": [ 3777 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3776 ], "CO": [ 3778 ], "I0": [ 695 ], "I1": [ 625 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 698 ], "I2": [ 627 ], "I3": [ 3778 ], "O": [ 3779 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3778 ], "CO": [ 3780 ], "I0": [ 698 ], "I1": [ 627 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 701 ], "I2": [ 629 ], "I3": [ 3780 ], "O": [ 3781 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3780 ], "CO": [ 3782 ], "I0": [ 701 ], "I1": [ 629 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 704 ], "I2": [ 631 ], "I3": [ 3782 ], "O": [ 3783 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3782 ], "CO": [ 3784 ], "I0": [ 704 ], "I1": [ 631 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 707 ], "I2": [ 633 ], "I3": [ 3784 ], "O": [ 3785 ] } }, "$auto$alumacc.cc:474:replace_alu$1686.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3784 ], "CO": [ 3755 ], "I0": [ 707 ], "I1": [ 633 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3786 ], "I0": [ 680 ], "I1": [ 614 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 710 ], "I2": [ 634 ], "I3": [ 3787 ], "O": [ 1411 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3787 ], "CO": [ 3788 ], "I0": [ 710 ], "I1": [ 634 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 713 ], "I2": [ 636 ], "I3": [ 3788 ], "O": [ 1413 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3788 ], "CO": [ 3789 ], "I0": [ 713 ], "I1": [ 636 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 716 ], "I2": [ 638 ], "I3": [ 3789 ], "O": [ 1415 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3789 ], "CO": [ 3790 ], "I0": [ 716 ], "I1": [ 638 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 719 ], "I2": [ 640 ], "I3": [ 3790 ], "O": [ 1417 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3790 ], "CO": [ 3791 ], "I0": [ 719 ], "I1": [ 640 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 722 ], "I2": [ 642 ], "I3": [ 3791 ], "O": [ 1419 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3791 ], "CO": [ 3792 ], "I0": [ 722 ], "I1": [ 642 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 725 ], "I2": [ 644 ], "I3": [ 3792 ], "O": [ 1421 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3792 ], "CO": [ 3793 ], "I0": [ 725 ], "I1": [ 644 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 728 ], "I2": [ 646 ], "I3": [ 3793 ], "O": [ 1423 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 683 ], "I2": [ 616 ], "I3": [ 3786 ], "O": [ 1393 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3786 ], "CO": [ 3794 ], "I0": [ 683 ], "I1": [ 616 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 686 ], "I2": [ 618 ], "I3": [ 3794 ], "O": [ 1395 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3794 ], "CO": [ 3795 ], "I0": [ 686 ], "I1": [ 618 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 689 ], "I2": [ 620 ], "I3": [ 3795 ], "O": [ 1397 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3795 ], "CO": [ 3796 ], "I0": [ 689 ], "I1": [ 620 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 692 ], "I2": [ 622 ], "I3": [ 3796 ], "O": [ 1399 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3796 ], "CO": [ 3797 ], "I0": [ 692 ], "I1": [ 622 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 695 ], "I2": [ 624 ], "I3": [ 3797 ], "O": [ 1401 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3797 ], "CO": [ 3798 ], "I0": [ 695 ], "I1": [ 624 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 698 ], "I2": [ 626 ], "I3": [ 3798 ], "O": [ 1403 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3798 ], "CO": [ 3799 ], "I0": [ 698 ], "I1": [ 626 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 701 ], "I2": [ 628 ], "I3": [ 3799 ], "O": [ 1405 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3799 ], "CO": [ 3800 ], "I0": [ 701 ], "I1": [ 628 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 704 ], "I2": [ 630 ], "I3": [ 3800 ], "O": [ 1407 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3800 ], "CO": [ 3801 ], "I0": [ 704 ], "I1": [ 630 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 707 ], "I2": [ 632 ], "I3": [ 3801 ], "O": [ 1409 ] } }, "$auto$alumacc.cc:474:replace_alu$1689.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3801 ], "CO": [ 3787 ], "I0": [ 707 ], "I1": [ 632 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3802 ], "I2": [ 593 ], "I3": [ "0" ], "O": [ 3803 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3804 ], "I0": [ 3802 ], "I1": [ 593 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3805 ], "I2": [ 595 ], "I3": [ 3804 ], "O": [ 3806 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3804 ], "CO": [ 3807 ], "I0": [ 3805 ], "I1": [ 595 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3808 ], "I2": [ 597 ], "I3": [ 3807 ], "O": [ 3809 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3807 ], "CO": [ 3810 ], "I0": [ 3808 ], "I1": [ 597 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3811 ], "I2": [ 599 ], "I3": [ 3810 ], "O": [ 3812 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3810 ], "CO": [ 3813 ], "I0": [ 3811 ], "I1": [ 599 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3814 ], "I2": [ 601 ], "I3": [ 3813 ], "O": [ 3815 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3813 ], "CO": [ 3816 ], "I0": [ 3814 ], "I1": [ 601 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3817 ], "I2": [ 603 ], "I3": [ 3816 ], "O": [ 3818 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3816 ], "CO": [ 3819 ], "I0": [ 3817 ], "I1": [ 603 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3820 ], "I2": [ 605 ], "I3": [ 3819 ], "O": [ 3821 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3819 ], "CO": [ 3822 ], "I0": [ 3820 ], "I1": [ 605 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3823 ], "I2": [ 607 ], "I3": [ 3822 ], "O": [ 3824 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3822 ], "CO": [ 3825 ], "I0": [ 3823 ], "I1": [ 607 ] } }, "$auto$alumacc.cc:474:replace_alu$1692.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3826 ], "I2": [ 609 ], "I3": [ 3825 ], "O": [ 3827 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3802 ], "I2": [ 594 ], "I3": [ "0" ], "O": [ 3828 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3829 ], "I0": [ 3802 ], "I1": [ 594 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3805 ], "I2": [ 596 ], "I3": [ 3829 ], "O": [ 3830 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3829 ], "CO": [ 3831 ], "I0": [ 3805 ], "I1": [ 596 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3808 ], "I2": [ 598 ], "I3": [ 3831 ], "O": [ 3832 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3831 ], "CO": [ 3833 ], "I0": [ 3808 ], "I1": [ 598 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3811 ], "I2": [ 600 ], "I3": [ 3833 ], "O": [ 3834 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3833 ], "CO": [ 3835 ], "I0": [ 3811 ], "I1": [ 600 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3814 ], "I2": [ 602 ], "I3": [ 3835 ], "O": [ 3836 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3835 ], "CO": [ 3837 ], "I0": [ 3814 ], "I1": [ 602 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3817 ], "I2": [ 604 ], "I3": [ 3837 ], "O": [ 3838 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3837 ], "CO": [ 3839 ], "I0": [ 3817 ], "I1": [ 604 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3820 ], "I2": [ 606 ], "I3": [ 3839 ], "O": [ 3840 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3839 ], "CO": [ 3841 ], "I0": [ 3820 ], "I1": [ 606 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3823 ], "I2": [ 608 ], "I3": [ 3841 ], "O": [ 3842 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3841 ], "CO": [ 3843 ], "I0": [ 3823 ], "I1": [ 608 ] } }, "$auto$alumacc.cc:474:replace_alu$1695.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3826 ], "I2": [ 610 ], "I3": [ 3843 ], "O": [ 3844 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3845 ], "I2": [ 559 ], "I3": [ "0" ], "O": [ 3846 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3847 ], "I0": [ 3845 ], "I1": [ 559 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3848 ], "I2": [ 579 ], "I3": [ 3849 ], "O": [ 3850 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3849 ], "CO": [ 3851 ], "I0": [ 3848 ], "I1": [ 579 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3852 ], "I2": [ 581 ], "I3": [ 3851 ], "O": [ 3853 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3851 ], "CO": [ 3854 ], "I0": [ 3852 ], "I1": [ 581 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3855 ], "I2": [ 583 ], "I3": [ 3854 ], "O": [ 3856 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3854 ], "CO": [ 3857 ], "I0": [ 3855 ], "I1": [ 583 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3858 ], "I2": [ 585 ], "I3": [ 3857 ], "O": [ 3859 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3857 ], "CO": [ 3860 ], "I0": [ 3858 ], "I1": [ 585 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3861 ], "I2": [ 587 ], "I3": [ 3860 ], "O": [ 3862 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3860 ], "CO": [ 3863 ], "I0": [ 3861 ], "I1": [ 587 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3864 ], "I2": [ 589 ], "I3": [ 3863 ], "O": [ 3865 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3863 ], "CO": [ 3866 ], "I0": [ 3864 ], "I1": [ 589 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3867 ], "I2": [ 591 ], "I3": [ 3866 ], "O": [ 3868 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3869 ], "I2": [ 561 ], "I3": [ 3847 ], "O": [ 3870 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3847 ], "CO": [ 3871 ], "I0": [ 3869 ], "I1": [ 561 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3872 ], "I2": [ 563 ], "I3": [ 3871 ], "O": [ 3873 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3871 ], "CO": [ 3874 ], "I0": [ 3872 ], "I1": [ 563 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3875 ], "I2": [ 565 ], "I3": [ 3874 ], "O": [ 3876 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3874 ], "CO": [ 3877 ], "I0": [ 3875 ], "I1": [ 565 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3878 ], "I2": [ 567 ], "I3": [ 3877 ], "O": [ 3879 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3877 ], "CO": [ 3880 ], "I0": [ 3878 ], "I1": [ 567 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3881 ], "I2": [ 569 ], "I3": [ 3880 ], "O": [ 3882 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3880 ], "CO": [ 3883 ], "I0": [ 3881 ], "I1": [ 569 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3884 ], "I2": [ 571 ], "I3": [ 3883 ], "O": [ 3885 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3883 ], "CO": [ 3886 ], "I0": [ 3884 ], "I1": [ 571 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3887 ], "I2": [ 573 ], "I3": [ 3886 ], "O": [ 3888 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3886 ], "CO": [ 3889 ], "I0": [ 3887 ], "I1": [ 573 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3890 ], "I2": [ 575 ], "I3": [ 3889 ], "O": [ 3891 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3889 ], "CO": [ 3892 ], "I0": [ 3890 ], "I1": [ 575 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3893 ], "I2": [ 577 ], "I3": [ 3892 ], "O": [ 3894 ] } }, "$auto$alumacc.cc:474:replace_alu$1698.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3892 ], "CO": [ 3849 ], "I0": [ 3893 ], "I1": [ 577 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 3895 ], "I0": [ 558 ], "I1": [ 3845 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 578 ], "I2": [ 3848 ], "I3": [ 3896 ], "O": [ 3897 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3896 ], "CO": [ 3898 ], "I0": [ 578 ], "I1": [ 3848 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 580 ], "I2": [ 3852 ], "I3": [ 3898 ], "O": [ 3899 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3898 ], "CO": [ 3900 ], "I0": [ 580 ], "I1": [ 3852 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 582 ], "I2": [ 3855 ], "I3": [ 3900 ], "O": [ 3901 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3900 ], "CO": [ 3902 ], "I0": [ 582 ], "I1": [ 3855 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 584 ], "I2": [ 3858 ], "I3": [ 3902 ], "O": [ 3903 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3902 ], "CO": [ 3904 ], "I0": [ 584 ], "I1": [ 3858 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 586 ], "I2": [ 3861 ], "I3": [ 3904 ], "O": [ 3905 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3904 ], "CO": [ 3906 ], "I0": [ 586 ], "I1": [ 3861 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 588 ], "I2": [ 3864 ], "I3": [ 3906 ], "O": [ 3907 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3906 ], "CO": [ 3908 ], "I0": [ 588 ], "I1": [ 3864 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 590 ], "I2": [ 3867 ], "I3": [ 3908 ], "O": [ 3909 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 560 ], "I2": [ 3869 ], "I3": [ 3895 ], "O": [ 3910 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3895 ], "CO": [ 3911 ], "I0": [ 560 ], "I1": [ 3869 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 562 ], "I2": [ 3872 ], "I3": [ 3911 ], "O": [ 3912 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3911 ], "CO": [ 3913 ], "I0": [ 562 ], "I1": [ 3872 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 564 ], "I2": [ 3875 ], "I3": [ 3913 ], "O": [ 3914 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3913 ], "CO": [ 3915 ], "I0": [ 564 ], "I1": [ 3875 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 566 ], "I2": [ 3878 ], "I3": [ 3915 ], "O": [ 3916 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3915 ], "CO": [ 3917 ], "I0": [ 566 ], "I1": [ 3878 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 568 ], "I2": [ 3881 ], "I3": [ 3917 ], "O": [ 3918 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3917 ], "CO": [ 3919 ], "I0": [ 568 ], "I1": [ 3881 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 570 ], "I2": [ 3884 ], "I3": [ 3919 ], "O": [ 3920 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3919 ], "CO": [ 3921 ], "I0": [ 570 ], "I1": [ 3884 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 572 ], "I2": [ 3887 ], "I3": [ 3921 ], "O": [ 3922 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3921 ], "CO": [ 3923 ], "I0": [ 572 ], "I1": [ 3887 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 574 ], "I2": [ 3890 ], "I3": [ 3923 ], "O": [ 3924 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3923 ], "CO": [ 3925 ], "I0": [ 574 ], "I1": [ 3890 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 576 ], "I2": [ 3893 ], "I3": [ 3925 ], "O": [ 3926 ] } }, "$auto$alumacc.cc:474:replace_alu$1701.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3925 ], "CO": [ 3896 ], "I0": [ 576 ], "I1": [ 3893 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 213 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 420 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 213 ], "CO": [ 3927 ], "I0": [ 214 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 215 ], "I2": [ "1" ], "I3": [ 3927 ], "O": [ 442 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3927 ], "CO": [ 3928 ], "I0": [ 215 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 216 ], "I2": [ "1" ], "I3": [ 3928 ], "O": [ 432 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3928 ], "CO": [ 3929 ], "I0": [ 216 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 209 ], "I2": [ "1" ], "I3": [ 3929 ], "O": [ 412 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3929 ], "CO": [ 3930 ], "I0": [ 209 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 210 ], "I2": [ "1" ], "I3": [ 3930 ], "O": [ 424 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3930 ], "CO": [ 3931 ], "I0": [ 210 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 211 ], "I2": [ "1" ], "I3": [ 3931 ], "O": [ 435 ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3931 ], "CO": [ 3932 ], "I0": [ 211 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1704.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 212 ], "I2": [ "1" ], "I3": [ 3932 ], "O": [ 444 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 3933 ], "I0": [ 191 ], "I1": [ 3596 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3933 ], "CO": [ 3934 ], "I0": [ 373 ], "I1": [ 3593 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3934 ], "CO": [ 3935 ], "I0": [ 379 ], "I1": [ 3597 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3935 ], "CO": [ 3936 ], "I0": [ 366 ], "I1": [ 3598 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3936 ], "CO": [ 3937 ], "I0": [ 249 ], "I1": [ 3599 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 388 ], "I2": [ 3595 ], "I3": [ 3937 ], "O": [ 133 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3937 ], "CO": [ 3938 ], "I0": [ 388 ], "I1": [ 3595 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 381 ], "I2": [ 3600 ], "I3": [ 3938 ], "O": [ 132 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3938 ], "CO": [ 3939 ], "I0": [ 381 ], "I1": [ 3600 ] } }, "$auto$alumacc.cc:474:replace_alu$1707.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 360 ], "I2": [ 3601 ], "I3": [ 3939 ], "O": [ 131 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 241 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 862 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 241 ], "CO": [ 3940 ], "I0": [ 94 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 97 ], "I2": [ "1" ], "I3": [ 3940 ], "O": [ 865 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3940 ], "CO": [ 3941 ], "I0": [ 97 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 100 ], "I2": [ "1" ], "I3": [ 3941 ], "O": [ 867 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3941 ], "CO": [ 3942 ], "I0": [ 100 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 103 ], "I2": [ "1" ], "I3": [ 3942 ], "O": [ 869 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3942 ], "CO": [ 3943 ], "I0": [ 103 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 106 ], "I2": [ "1" ], "I3": [ 3943 ], "O": [ 871 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3943 ], "CO": [ 3944 ], "I0": [ 106 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 109 ], "I2": [ "1" ], "I3": [ 3944 ], "O": [ 873 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3944 ], "CO": [ 3945 ], "I0": [ 109 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 112 ], "I2": [ "1" ], "I3": [ 3945 ], "O": [ 875 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3945 ], "CO": [ 3946 ], "I0": [ 112 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 115 ], "I2": [ "1" ], "I3": [ 3946 ], "O": [ 877 ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3946 ], "CO": [ 3947 ], "I0": [ 115 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$1714.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 118 ], "I2": [ "1" ], "I3": [ 3947 ], "O": [ 879 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 191 ], "I3": [ "0" ], "O": [ 3948 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 191 ], "CO": [ 3949 ], "I0": [ "0" ], "I1": [ 373 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 379 ], "I3": [ 3949 ], "O": [ 3950 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3949 ], "CO": [ 3951 ], "I0": [ "0" ], "I1": [ 379 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 366 ], "I3": [ 3951 ], "O": [ 3952 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3951 ], "CO": [ 3953 ], "I0": [ "0" ], "I1": [ 366 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 249 ], "I3": [ 3953 ], "O": [ 3954 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3953 ], "CO": [ 3955 ], "I0": [ "0" ], "I1": [ 249 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 388 ], "I3": [ 3955 ], "O": [ 3956 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3955 ], "CO": [ 3957 ], "I0": [ "0" ], "I1": [ 388 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 381 ], "I3": [ 3957 ], "O": [ 3958 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3957 ], "CO": [ 3959 ], "I0": [ "0" ], "I1": [ 381 ] } }, "$auto$alumacc.cc:474:replace_alu$1717.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 360 ], "I3": [ 3959 ], "O": [ 3960 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3961 ], "I3": [ 3962 ], "O": [ 3641 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3962 ], "CO": [ 3963 ], "I0": [ "0" ], "I1": [ 3961 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3964 ], "I3": [ 3963 ], "O": [ 3645 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3963 ], "CO": [ 3965 ], "I0": [ "0" ], "I1": [ 3964 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3966 ], "I3": [ 3965 ], "O": [ 3649 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3965 ], "CO": [ 3967 ], "I0": [ "0" ], "I1": [ 3966 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3968 ], "I3": [ 3967 ], "O": [ 3653 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3967 ], "CO": [ 3969 ], "I0": [ "0" ], "I1": [ 3968 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3970 ], "I3": [ 3969 ], "O": [ 3657 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3969 ], "CO": [ 3971 ], "I0": [ "0" ], "I1": [ 3970 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3972 ], "I3": [ 3971 ], "O": [ 3661 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3971 ], "CO": [ 3973 ], "I0": [ "0" ], "I1": [ 3972 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3974 ], "I3": [ 3973 ], "O": [ 3665 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 773 ], "CO": [ 3975 ], "I0": [ "0" ], "I1": [ 774 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3976 ], "I3": [ 3975 ], "O": [ 3617 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3975 ], "CO": [ 3977 ], "I0": [ "0" ], "I1": [ 3976 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3978 ], "I3": [ 3977 ], "O": [ 3621 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3977 ], "CO": [ 3979 ], "I0": [ "0" ], "I1": [ 3978 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3980 ], "I3": [ 3979 ], "O": [ 3625 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3979 ], "CO": [ 3981 ], "I0": [ "0" ], "I1": [ 3980 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3982 ], "I3": [ 3981 ], "O": [ 3629 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3981 ], "CO": [ 3983 ], "I0": [ "0" ], "I1": [ 3982 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3984 ], "I3": [ 3983 ], "O": [ 3633 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3983 ], "CO": [ 3985 ], "I0": [ "0" ], "I1": [ 3984 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3986 ], "I3": [ 3985 ], "O": [ 3637 ] } }, "$auto$alumacc.cc:474:replace_alu$1720.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3985 ], "CO": [ 3962 ], "I0": [ "0" ], "I1": [ 3986 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 213 ], "I3": [ "0" ], "O": [ 415 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 213 ], "CO": [ 3987 ], "I0": [ "0" ], "I1": [ 214 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 215 ], "I3": [ 3987 ], "O": [ 437 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3987 ], "CO": [ 3988 ], "I0": [ "0" ], "I1": [ 215 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 216 ], "I3": [ 3988 ], "O": [ 427 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3988 ], "CO": [ 3989 ], "I0": [ "0" ], "I1": [ 216 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 209 ], "I3": [ 3989 ], "O": [ 407 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3989 ], "CO": [ 3990 ], "I0": [ "0" ], "I1": [ 209 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 210 ], "I3": [ 3990 ], "O": [ 403 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3990 ], "CO": [ 3991 ], "I0": [ "0" ], "I1": [ 210 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 211 ], "I3": [ 3991 ], "O": [ 429 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3991 ], "CO": [ 3992 ], "I0": [ "0" ], "I1": [ 211 ] } }, "$auto$alumacc.cc:474:replace_alu$1723.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 212 ], "I3": [ 3992 ], "O": [ 439 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 251 ], "I3": [ "0" ], "O": [ 885 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 251 ], "CO": [ 3993 ], "I0": [ "0" ], "I1": [ 887 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3994 ], "I3": [ 3993 ], "O": [ 889 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3993 ], "CO": [ 3995 ], "I0": [ "0" ], "I1": [ 3994 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3996 ], "I3": [ 3995 ], "O": [ 891 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3995 ], "CO": [ 3997 ], "I0": [ "0" ], "I1": [ 3996 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3998 ], "I3": [ 3997 ], "O": [ 893 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3997 ], "CO": [ 3999 ], "I0": [ "0" ], "I1": [ 3998 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 4000 ], "I3": [ 3999 ], "O": [ 895 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 3999 ], "CO": [ 4001 ], "I0": [ "0" ], "I1": [ 4000 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 4002 ], "I3": [ 4001 ], "O": [ 897 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4001 ], "CO": [ 4003 ], "I0": [ "0" ], "I1": [ 4002 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 4004 ], "I3": [ 4003 ], "O": [ 899 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4003 ], "CO": [ 4005 ], "I0": [ "0" ], "I1": [ 4004 ] } }, "$auto$alumacc.cc:474:replace_alu$1726.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 4006 ], "I3": [ 4005 ], "O": [ 901 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4007 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 903 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4008 ], "I2": [ "0" ], "I3": [ 4009 ], "O": [ 924 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4009 ], "CO": [ 4010 ], "I0": [ 4008 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4011 ], "I2": [ "0" ], "I3": [ 4010 ], "O": [ 929 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4010 ], "CO": [ 4012 ], "I0": [ 4011 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4013 ], "I2": [ "0" ], "I3": [ 4012 ], "O": [ 934 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4012 ], "CO": [ 4014 ], "I0": [ 4013 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4015 ], "I2": [ "0" ], "I3": [ 4014 ], "O": [ 939 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4014 ], "CO": [ 4016 ], "I0": [ 4015 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4017 ], "I2": [ "0" ], "I3": [ 4016 ], "O": [ 944 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4016 ], "CO": [ 4018 ], "I0": [ 4017 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4019 ], "I2": [ "0" ], "I3": [ 4018 ], "O": [ 949 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4018 ], "CO": [ 4020 ], "I0": [ 4019 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4021 ], "I2": [ "0" ], "I3": [ 4020 ], "O": [ 954 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4022 ], "I0": [ 905 ], "I1": [ 84 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4023 ], "I2": [ 3583 ], "I3": [ 4022 ], "O": [ 907 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4022 ], "CO": [ 4024 ], "I0": [ 4023 ], "I1": [ 3583 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4025 ], "I2": [ "0" ], "I3": [ 4024 ], "O": [ 909 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4024 ], "CO": [ 4026 ], "I0": [ 4025 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4027 ], "I2": [ "0" ], "I3": [ 4026 ], "O": [ 911 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4026 ], "CO": [ 4028 ], "I0": [ 4027 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4029 ], "I2": [ "0" ], "I3": [ 4028 ], "O": [ 913 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4028 ], "CO": [ 4030 ], "I0": [ 4029 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4031 ], "I2": [ "0" ], "I3": [ 4030 ], "O": [ 915 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4030 ], "CO": [ 4032 ], "I0": [ 4031 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4033 ], "I2": [ "0" ], "I3": [ 4032 ], "O": [ 917 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4032 ], "CO": [ 4034 ], "I0": [ 4033 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4035 ], "I2": [ "0" ], "I3": [ 4034 ], "O": [ 919 ] } }, "$auto$alumacc.cc:474:replace_alu$1729.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4034 ], "CO": [ 4009 ], "I0": [ 4035 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 247 ], "I3": [ "0" ], "O": [ 368 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 247 ], "CO": [ 4036 ], "I0": [ "0" ], "I1": [ 377 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 382 ], "I3": [ 4036 ], "O": [ 383 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4036 ], "CO": [ 4037 ], "I0": [ "0" ], "I1": [ 382 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 370 ], "I3": [ 4037 ], "O": [ 371 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4037 ], "CO": [ 4038 ], "I0": [ "0" ], "I1": [ 370 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 248 ], "I3": [ 4038 ], "O": [ 389 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4038 ], "CO": [ 4039 ], "I0": [ "0" ], "I1": [ 248 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 390 ], "I3": [ 4039 ], "O": [ 391 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4039 ], "CO": [ 4040 ], "I0": [ "0" ], "I1": [ 390 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 384 ], "I3": [ 4040 ], "O": [ 385 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4040 ], "CO": [ 4041 ], "I0": [ "0" ], "I1": [ 384 ] } }, "$auto$alumacc.cc:474:replace_alu$1732.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 393 ], "I3": [ 4041 ], "O": [ 394 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 820 ], "I3": [ "0" ], "O": [ 821 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 820 ], "CO": [ 4042 ], "I0": [ "0" ], "I1": [ 825 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 829 ], "I3": [ 4042 ], "O": [ 830 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4042 ], "CO": [ 4043 ], "I0": [ "0" ], "I1": [ 829 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 834 ], "I3": [ 4043 ], "O": [ 835 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4043 ], "CO": [ 4044 ], "I0": [ "0" ], "I1": [ 834 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 839 ], "I3": [ 4044 ], "O": [ 840 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4044 ], "CO": [ 4045 ], "I0": [ "0" ], "I1": [ 839 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 844 ], "I3": [ 4045 ], "O": [ 845 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4045 ], "CO": [ 4046 ], "I0": [ "0" ], "I1": [ 844 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 849 ], "I3": [ 4046 ], "O": [ 850 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4046 ], "CO": [ 4047 ], "I0": [ "0" ], "I1": [ 849 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 854 ], "I3": [ 4047 ], "O": [ 855 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4047 ], "CO": [ 4048 ], "I0": [ "0" ], "I1": [ 854 ] } }, "$auto$alumacc.cc:474:replace_alu$1735.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 859 ], "I3": [ 4048 ], "O": [ 860 ] } }, "$auto$alumacc.cc:474:replace_alu$1738.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 140 ], "I3": [ "1" ], "O": [ 137 ] } }, "$auto$alumacc.cc:474:replace_alu$1738.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 140 ], "CO": [ 4049 ], "I0": [ "0" ], "I1": [ 139 ] } }, "$auto$alumacc.cc:474:replace_alu$1738.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ "1" ], "I3": [ 4049 ], "O": [ 1323 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 976 ], "I3": [ "0" ], "O": [ 1320 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1066 ], "I3": [ 4050 ], "O": [ 1341 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4050 ], "CO": [ 4051 ], "I0": [ "0" ], "I1": [ 1066 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1075 ], "I3": [ 4051 ], "O": [ 1343 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4051 ], "CO": [ 4052 ], "I0": [ "0" ], "I1": [ 1075 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1084 ], "I3": [ 4052 ], "O": [ 1345 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4052 ], "CO": [ 4053 ], "I0": [ "0" ], "I1": [ 1084 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1093 ], "I3": [ 4053 ], "O": [ 1347 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 976 ], "CO": [ 4054 ], "I0": [ "0" ], "I1": [ 985 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 994 ], "I3": [ 4054 ], "O": [ 1325 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4054 ], "CO": [ 4055 ], "I0": [ "0" ], "I1": [ 994 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1003 ], "I3": [ 4055 ], "O": [ 1327 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4055 ], "CO": [ 4056 ], "I0": [ "0" ], "I1": [ 1003 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1012 ], "I3": [ 4056 ], "O": [ 1329 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4056 ], "CO": [ 4057 ], "I0": [ "0" ], "I1": [ 1012 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1021 ], "I3": [ 4057 ], "O": [ 1331 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4057 ], "CO": [ 4058 ], "I0": [ "0" ], "I1": [ 1021 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1030 ], "I3": [ 4058 ], "O": [ 1333 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4058 ], "CO": [ 4059 ], "I0": [ "0" ], "I1": [ 1030 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1039 ], "I3": [ 4059 ], "O": [ 1335 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4059 ], "CO": [ 4060 ], "I0": [ "0" ], "I1": [ 1039 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1048 ], "I3": [ 4060 ], "O": [ 1337 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4060 ], "CO": [ 4061 ], "I0": [ "0" ], "I1": [ 1048 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1057 ], "I3": [ 4061 ], "O": [ 1339 ] } }, "$auto$alumacc.cc:474:replace_alu$1741.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4061 ], "CO": [ 4050 ], "I0": [ "0" ], "I1": [ 1057 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4062 ], "I2": [ 2323 ], "I3": [ "0" ], "O": [ 4063 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4064 ], "I0": [ 4062 ], "I1": [ 2323 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4065 ], "I2": [ 2343 ], "I3": [ 4066 ], "O": [ 4067 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4066 ], "CO": [ 4068 ], "I0": [ 4065 ], "I1": [ 2343 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4069 ], "I2": [ 2345 ], "I3": [ 4068 ], "O": [ 4070 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4068 ], "CO": [ 4071 ], "I0": [ 4069 ], "I1": [ 2345 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4072 ], "I2": [ 2347 ], "I3": [ 4071 ], "O": [ 4073 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4071 ], "CO": [ 4074 ], "I0": [ 4072 ], "I1": [ 2347 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4075 ], "I2": [ 2349 ], "I3": [ 4074 ], "O": [ 4076 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4074 ], "CO": [ 4077 ], "I0": [ 4075 ], "I1": [ 2349 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4078 ], "I2": [ 2351 ], "I3": [ 4077 ], "O": [ 4079 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4077 ], "CO": [ 4080 ], "I0": [ 4078 ], "I1": [ 2351 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4081 ], "I2": [ 2353 ], "I3": [ 4080 ], "O": [ 4082 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4080 ], "CO": [ 4083 ], "I0": [ 4081 ], "I1": [ 2353 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4084 ], "I2": [ 2355 ], "I3": [ 4083 ], "O": [ 4085 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4086 ], "I2": [ 2325 ], "I3": [ 4064 ], "O": [ 4087 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4064 ], "CO": [ 4088 ], "I0": [ 4086 ], "I1": [ 2325 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4089 ], "I2": [ 2327 ], "I3": [ 4088 ], "O": [ 4090 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4088 ], "CO": [ 4091 ], "I0": [ 4089 ], "I1": [ 2327 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4092 ], "I2": [ 2329 ], "I3": [ 4091 ], "O": [ 4093 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4091 ], "CO": [ 4094 ], "I0": [ 4092 ], "I1": [ 2329 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4095 ], "I2": [ 2331 ], "I3": [ 4094 ], "O": [ 4096 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4094 ], "CO": [ 4097 ], "I0": [ 4095 ], "I1": [ 2331 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4098 ], "I2": [ 2333 ], "I3": [ 4097 ], "O": [ 4099 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4097 ], "CO": [ 4100 ], "I0": [ 4098 ], "I1": [ 2333 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4101 ], "I2": [ 2335 ], "I3": [ 4100 ], "O": [ 4102 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4100 ], "CO": [ 4103 ], "I0": [ 4101 ], "I1": [ 2335 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4104 ], "I2": [ 2337 ], "I3": [ 4103 ], "O": [ 4105 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4103 ], "CO": [ 4106 ], "I0": [ 4104 ], "I1": [ 2337 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4107 ], "I2": [ 2339 ], "I3": [ 4106 ], "O": [ 4108 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4106 ], "CO": [ 4109 ], "I0": [ 4107 ], "I1": [ 2339 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4110 ], "I2": [ 2341 ], "I3": [ 4109 ], "O": [ 4111 ] } }, "$auto$alumacc.cc:474:replace_alu$1744.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4109 ], "CO": [ 4066 ], "I0": [ 4110 ], "I1": [ 2341 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4062 ], "I2": [ 2322 ], "I3": [ "0" ], "O": [ 4112 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4113 ], "I0": [ 4062 ], "I1": [ 2322 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4065 ], "I2": [ 2342 ], "I3": [ 4114 ], "O": [ 4115 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4114 ], "CO": [ 4116 ], "I0": [ 4065 ], "I1": [ 2342 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4069 ], "I2": [ 2344 ], "I3": [ 4116 ], "O": [ 4117 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4116 ], "CO": [ 4118 ], "I0": [ 4069 ], "I1": [ 2344 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4072 ], "I2": [ 2346 ], "I3": [ 4118 ], "O": [ 4119 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4118 ], "CO": [ 4120 ], "I0": [ 4072 ], "I1": [ 2346 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4075 ], "I2": [ 2348 ], "I3": [ 4120 ], "O": [ 4121 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4120 ], "CO": [ 4122 ], "I0": [ 4075 ], "I1": [ 2348 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4078 ], "I2": [ 2350 ], "I3": [ 4122 ], "O": [ 4123 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4122 ], "CO": [ 4124 ], "I0": [ 4078 ], "I1": [ 2350 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4081 ], "I2": [ 2352 ], "I3": [ 4124 ], "O": [ 4125 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4124 ], "CO": [ 4126 ], "I0": [ 4081 ], "I1": [ 2352 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4084 ], "I2": [ 2354 ], "I3": [ 4126 ], "O": [ 4127 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4086 ], "I2": [ 2324 ], "I3": [ 4113 ], "O": [ 4128 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4113 ], "CO": [ 4129 ], "I0": [ 4086 ], "I1": [ 2324 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4089 ], "I2": [ 2326 ], "I3": [ 4129 ], "O": [ 4130 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4129 ], "CO": [ 4131 ], "I0": [ 4089 ], "I1": [ 2326 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4092 ], "I2": [ 2328 ], "I3": [ 4131 ], "O": [ 4132 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4131 ], "CO": [ 4133 ], "I0": [ 4092 ], "I1": [ 2328 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4095 ], "I2": [ 2330 ], "I3": [ 4133 ], "O": [ 4134 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4133 ], "CO": [ 4135 ], "I0": [ 4095 ], "I1": [ 2330 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4098 ], "I2": [ 2332 ], "I3": [ 4135 ], "O": [ 4136 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4135 ], "CO": [ 4137 ], "I0": [ 4098 ], "I1": [ 2332 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4101 ], "I2": [ 2334 ], "I3": [ 4137 ], "O": [ 4138 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4137 ], "CO": [ 4139 ], "I0": [ 4101 ], "I1": [ 2334 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4104 ], "I2": [ 2336 ], "I3": [ 4139 ], "O": [ 4140 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4139 ], "CO": [ 4141 ], "I0": [ 4104 ], "I1": [ 2336 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4107 ], "I2": [ 2338 ], "I3": [ 4141 ], "O": [ 4142 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4141 ], "CO": [ 4143 ], "I0": [ 4107 ], "I1": [ 2338 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4110 ], "I2": [ 2340 ], "I3": [ 4143 ], "O": [ 4144 ] } }, "$auto$alumacc.cc:474:replace_alu$1747.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4143 ], "CO": [ 4114 ], "I0": [ 4110 ], "I1": [ 2340 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1464 ], "I2": [ 195 ], "I3": [ "0" ], "O": [ 1435 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4145 ], "I0": [ 1464 ], "I1": [ 195 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2013 ], "I2": [ 1541 ], "I3": [ 4146 ], "O": [ 1537 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4146 ], "CO": [ 4147 ], "I0": [ 2013 ], "I1": [ 1541 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2003 ], "I2": [ 1546 ], "I3": [ 4147 ], "O": [ 1542 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4147 ], "CO": [ 4148 ], "I0": [ 2003 ], "I1": [ 1546 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1992 ], "I2": [ 1551 ], "I3": [ 4148 ], "O": [ 1547 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4148 ], "CO": [ 4149 ], "I0": [ 1992 ], "I1": [ 1551 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1993 ], "I2": [ 1556 ], "I3": [ 4149 ], "O": [ 1552 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4149 ], "CO": [ 4150 ], "I0": [ 1993 ], "I1": [ 1556 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1995 ], "I2": [ 1561 ], "I3": [ 4150 ], "O": [ 1557 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4150 ], "CO": [ 4151 ], "I0": [ 1995 ], "I1": [ 1561 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1883 ], "I2": [ 1566 ], "I3": [ 4151 ], "O": [ 1562 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4151 ], "CO": [ 4152 ], "I0": [ 1883 ], "I1": [ 1566 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1884 ], "I2": [ 766 ], "I3": [ 4152 ], "O": [ 1567 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4152 ], "CO": [ 4153 ], "I0": [ 1884 ], "I1": [ 766 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1887 ], "I2": [ 1575 ], "I3": [ 4153 ], "O": [ 1571 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4153 ], "CO": [ 4154 ], "I0": [ 1887 ], "I1": [ 1575 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1888 ], "I2": [ 1580 ], "I3": [ 4154 ], "O": [ 1576 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4154 ], "CO": [ 4155 ], "I0": [ 1888 ], "I1": [ 1580 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1893 ], "I2": [ 1585 ], "I3": [ 4155 ], "O": [ 1581 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4155 ], "CO": [ 4156 ], "I0": [ 1893 ], "I1": [ 1585 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1470 ], "I2": [ 198 ], "I3": [ 4145 ], "O": [ 1442 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4145 ], "CO": [ 4157 ], "I0": [ 1470 ], "I1": [ 198 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1894 ], "I2": [ 1590 ], "I3": [ 4156 ], "O": [ 1586 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4156 ], "CO": [ 4158 ], "I0": [ 1894 ], "I1": [ 1590 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1897 ], "I2": [ 1595 ], "I3": [ 4158 ], "O": [ 1591 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4158 ], "CO": [ 4159 ], "I0": [ 1897 ], "I1": [ 1595 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1898 ], "I2": [ 1600 ], "I3": [ 4159 ], "O": [ 1596 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4159 ], "CO": [ 4160 ], "I0": [ 1898 ], "I1": [ 1600 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1905 ], "I2": [ 1605 ], "I3": [ 4160 ], "O": [ 1601 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4160 ], "CO": [ 4161 ], "I0": [ 1905 ], "I1": [ 1605 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1906 ], "I2": [ 1610 ], "I3": [ 4161 ], "O": [ 1606 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4161 ], "CO": [ 4162 ], "I0": [ 1906 ], "I1": [ 1610 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1909 ], "I2": [ 1615 ], "I3": [ 4162 ], "O": [ 1611 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4162 ], "CO": [ 4163 ], "I0": [ 1909 ], "I1": [ 1615 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1910 ], "I2": [ 1620 ], "I3": [ 4163 ], "O": [ 1616 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4163 ], "CO": [ 4164 ], "I0": [ 1910 ], "I1": [ 1620 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1915 ], "I2": [ 1625 ], "I3": [ 4164 ], "O": [ 1621 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4164 ], "CO": [ 4165 ], "I0": [ 1915 ], "I1": [ 1625 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1916 ], "I2": [ 1630 ], "I3": [ 4165 ], "O": [ 1626 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4165 ], "CO": [ 4166 ], "I0": [ 1916 ], "I1": [ 1630 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1919 ], "I2": [ 1635 ], "I3": [ 4166 ], "O": [ 1631 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4166 ], "CO": [ 4167 ], "I0": [ 1919 ], "I1": [ 1635 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1493 ], "I2": [ 201 ], "I3": [ 4157 ], "O": [ 1477 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4157 ], "CO": [ 4168 ], "I0": [ 1493 ], "I1": [ 201 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1920 ], "I2": [ 1640 ], "I3": [ 4167 ], "O": [ 1636 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4167 ], "CO": [ 4169 ], "I0": [ 1920 ], "I1": [ 1640 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1855 ], "I2": [ 1645 ], "I3": [ 4169 ], "O": [ 1641 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2067 ], "I2": [ 202 ], "I3": [ 4168 ], "O": [ 1505 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4168 ], "CO": [ 4170 ], "I0": [ 2067 ], "I1": [ 202 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2061 ], "I2": [ 199 ], "I3": [ 4170 ], "O": [ 1509 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4170 ], "CO": [ 4171 ], "I0": [ 2061 ], "I1": [ 199 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2062 ], "I2": [ 200 ], "I3": [ 4171 ], "O": [ 1513 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4171 ], "CO": [ 4172 ], "I0": [ 2062 ], "I1": [ 200 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2064 ], "I2": [ 1521 ], "I3": [ 4172 ], "O": [ 1517 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4172 ], "CO": [ 4173 ], "I0": [ 2064 ], "I1": [ 1521 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2008 ], "I2": [ 1526 ], "I3": [ 4173 ], "O": [ 1522 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4173 ], "CO": [ 4174 ], "I0": [ 2008 ], "I1": [ 1526 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2009 ], "I2": [ 1531 ], "I3": [ 4174 ], "O": [ 1527 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4174 ], "CO": [ 4175 ], "I0": [ 2009 ], "I1": [ 1531 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2012 ], "I2": [ 1536 ], "I3": [ 4175 ], "O": [ 1532 ] } }, "$auto$alumacc.cc:474:replace_alu$1750.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4175 ], "CO": [ 4146 ], "I0": [ 2012 ], "I1": [ 1536 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1670 ], "I2": [ 1651 ], "I3": [ "0" ], "O": [ 1647 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4176 ], "I0": [ 1670 ], "I1": [ 1651 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2215 ], "I2": [ 1747 ], "I3": [ 4177 ], "O": [ 1744 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4177 ], "CO": [ 4178 ], "I0": [ 2215 ], "I1": [ 1747 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2216 ], "I2": [ 1752 ], "I3": [ 4178 ], "O": [ 1749 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4178 ], "CO": [ 4179 ], "I0": [ 2216 ], "I1": [ 1752 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2221 ], "I2": [ 1757 ], "I3": [ 4179 ], "O": [ 1754 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4179 ], "CO": [ 4180 ], "I0": [ 2221 ], "I1": [ 1757 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2222 ], "I2": [ 1762 ], "I3": [ 4180 ], "O": [ 1759 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4180 ], "CO": [ 4181 ], "I0": [ 2222 ], "I1": [ 1762 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2226 ], "I2": [ 1767 ], "I3": [ 4181 ], "O": [ 1764 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4181 ], "CO": [ 4182 ], "I0": [ 2226 ], "I1": [ 1767 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2225 ], "I2": [ 1772 ], "I3": [ 4182 ], "O": [ 1769 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4182 ], "CO": [ 4183 ], "I0": [ 2225 ], "I1": [ 1772 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2159 ], "I2": [ 1777 ], "I3": [ 4183 ], "O": [ 1774 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4183 ], "CO": [ 4184 ], "I0": [ 2159 ], "I1": [ 1777 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2160 ], "I2": [ 1782 ], "I3": [ 4184 ], "O": [ 1779 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4184 ], "CO": [ 4185 ], "I0": [ 2160 ], "I1": [ 1782 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2132 ], "I2": [ 1787 ], "I3": [ 4185 ], "O": [ 1784 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4185 ], "CO": [ 4186 ], "I0": [ 2132 ], "I1": [ 1787 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2133 ], "I2": [ 1792 ], "I3": [ 4186 ], "O": [ 1789 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4186 ], "CO": [ 4187 ], "I0": [ 2133 ], "I1": [ 1792 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1676 ], "I2": [ 3679 ], "I3": [ 4176 ], "O": [ 3681 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4176 ], "CO": [ 4188 ], "I0": [ 1676 ], "I1": [ 3679 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2136 ], "I2": [ 1797 ], "I3": [ 4187 ], "O": [ 1794 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4187 ], "CO": [ 4189 ], "I0": [ 2136 ], "I1": [ 1797 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2137 ], "I2": [ 1802 ], "I3": [ 4189 ], "O": [ 1799 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4189 ], "CO": [ 4190 ], "I0": [ 2137 ], "I1": [ 1802 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2126 ], "I2": [ 1807 ], "I3": [ 4190 ], "O": [ 1804 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4190 ], "CO": [ 4191 ], "I0": [ 2126 ], "I1": [ 1807 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2127 ], "I2": [ 1812 ], "I3": [ 4191 ], "O": [ 1809 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4191 ], "CO": [ 4192 ], "I0": [ 2127 ], "I1": [ 1812 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2122 ], "I2": [ 1817 ], "I3": [ 4192 ], "O": [ 1814 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4192 ], "CO": [ 4193 ], "I0": [ 2122 ], "I1": [ 1817 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2123 ], "I2": [ 1822 ], "I3": [ 4193 ], "O": [ 1819 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4193 ], "CO": [ 4194 ], "I0": [ 2123 ], "I1": [ 1822 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2142 ], "I2": [ 1827 ], "I3": [ 4194 ], "O": [ 1824 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4194 ], "CO": [ 4195 ], "I0": [ 2142 ], "I1": [ 1827 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2143 ], "I2": [ 1832 ], "I3": [ 4195 ], "O": [ 1829 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4195 ], "CO": [ 4196 ], "I0": [ 2143 ], "I1": [ 1832 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2146 ], "I2": [ 1837 ], "I3": [ 4196 ], "O": [ 1834 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4196 ], "CO": [ 4197 ], "I0": [ 2146 ], "I1": [ 1837 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2147 ], "I2": [ 1842 ], "I3": [ 4197 ], "O": [ 1839 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4197 ], "CO": [ 4198 ], "I0": [ 2147 ], "I1": [ 1842 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1696 ], "I2": [ 3683 ], "I3": [ 4188 ], "O": [ 3685 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4188 ], "CO": [ 4199 ], "I0": [ 1696 ], "I1": [ 3683 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2149 ], "I2": [ 1847 ], "I3": [ 4198 ], "O": [ 1844 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4198 ], "CO": [ 4200 ], "I0": [ 2149 ], "I1": [ 1847 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2097 ], "I2": [ 1852 ], "I3": [ 4200 ], "O": [ 1849 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2288 ], "I2": [ 1712 ], "I3": [ 4199 ], "O": [ 1709 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4199 ], "CO": [ 4201 ], "I0": [ 2288 ], "I1": [ 1712 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2282 ], "I2": [ 1717 ], "I3": [ 4201 ], "O": [ 1714 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4201 ], "CO": [ 4202 ], "I0": [ 2282 ], "I1": [ 1717 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2283 ], "I2": [ 1722 ], "I3": [ 4202 ], "O": [ 1719 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4202 ], "CO": [ 4203 ], "I0": [ 2283 ], "I1": [ 1722 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2285 ], "I2": [ 1727 ], "I3": [ 4203 ], "O": [ 1724 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4203 ], "CO": [ 4204 ], "I0": [ 2285 ], "I1": [ 1727 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2263 ], "I2": [ 1732 ], "I3": [ 4204 ], "O": [ 1729 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4204 ], "CO": [ 4205 ], "I0": [ 2263 ], "I1": [ 1732 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2211 ], "I2": [ 1737 ], "I3": [ 4205 ], "O": [ 1734 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4205 ], "CO": [ 4206 ], "I0": [ 2211 ], "I1": [ 1737 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2212 ], "I2": [ 1742 ], "I3": [ 4206 ], "O": [ 1739 ] } }, "$auto$alumacc.cc:474:replace_alu$1753.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4206 ], "CO": [ 4177 ], "I0": [ 2212 ], "I1": [ 1742 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2381 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1439 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2772 ], "I2": [ 2512 ], "I3": [ 4207 ], "O": [ 1540 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4207 ], "CO": [ 4208 ], "I0": [ 2772 ], "I1": [ 2512 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2773 ], "I2": [ 2533 ], "I3": [ 4208 ], "O": [ 1545 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4208 ], "CO": [ 4209 ], "I0": [ 2773 ], "I1": [ 2533 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2774 ], "I2": [ 2554 ], "I3": [ 4209 ], "O": [ 1550 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4209 ], "CO": [ 4210 ], "I0": [ 2774 ], "I1": [ 2554 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2775 ], "I2": [ 2575 ], "I3": [ 4210 ], "O": [ 1555 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4210 ], "CO": [ 4211 ], "I0": [ 2775 ], "I1": [ 2575 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2776 ], "I2": [ 2596 ], "I3": [ 4211 ], "O": [ 1560 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4211 ], "CO": [ 4212 ], "I0": [ 2776 ], "I1": [ 2596 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2777 ], "I2": [ 2617 ], "I3": [ 4212 ], "O": [ 1565 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4212 ], "CO": [ 4213 ], "I0": [ 2777 ], "I1": [ 2617 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2778 ], "I2": [ 2638 ], "I3": [ 4213 ], "O": [ 1570 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4213 ], "CO": [ 4214 ], "I0": [ 2778 ], "I1": [ 2638 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2779 ], "I2": [ 2659 ], "I3": [ 4214 ], "O": [ 1574 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4214 ], "CO": [ 4215 ], "I0": [ 2779 ], "I1": [ 2659 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2780 ], "I2": [ 2669 ], "I3": [ 4215 ], "O": [ 1579 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4215 ], "CO": [ 4216 ], "I0": [ 2780 ], "I1": [ 2669 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2781 ], "I2": [ 2676 ], "I3": [ 4216 ], "O": [ 1584 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4216 ], "CO": [ 4217 ], "I0": [ 2781 ], "I1": [ 2676 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2782 ], "I2": [ 2683 ], "I3": [ 4217 ], "O": [ 1589 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4217 ], "CO": [ 4218 ], "I0": [ 2782 ], "I1": [ 2683 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2783 ], "I2": [ 2690 ], "I3": [ 4218 ], "O": [ 1594 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4218 ], "CO": [ 4219 ], "I0": [ 2783 ], "I1": [ 2690 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2784 ], "I2": [ 2697 ], "I3": [ 4219 ], "O": [ 1599 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4219 ], "CO": [ 4220 ], "I0": [ 2784 ], "I1": [ 2697 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2785 ], "I2": [ 2704 ], "I3": [ 4220 ], "O": [ 1604 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4220 ], "CO": [ 4221 ], "I0": [ 2785 ], "I1": [ 2704 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2786 ], "I2": [ 2711 ], "I3": [ 4221 ], "O": [ 1609 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4221 ], "CO": [ 4222 ], "I0": [ 2786 ], "I1": [ 2711 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2787 ], "I2": [ 2718 ], "I3": [ 4222 ], "O": [ 1614 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4222 ], "CO": [ 4223 ], "I0": [ 2787 ], "I1": [ 2718 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2788 ], "I2": [ 2725 ], "I3": [ 4223 ], "O": [ 1619 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4223 ], "CO": [ 4224 ], "I0": [ 2788 ], "I1": [ 2725 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2789 ], "I2": [ 2732 ], "I3": [ 4224 ], "O": [ 1624 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4224 ], "CO": [ 4225 ], "I0": [ 2789 ], "I1": [ 2732 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2790 ], "I2": [ 2739 ], "I3": [ 4225 ], "O": [ 1629 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4225 ], "CO": [ 4226 ], "I0": [ 2790 ], "I1": [ 2739 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2791 ], "I2": [ 2746 ], "I3": [ 4226 ], "O": [ 1634 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4226 ], "CO": [ 4227 ], "I0": [ 2791 ], "I1": [ 2746 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4228 ], "I0": [ 1482 ], "I1": [ 1481 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2792 ], "I2": [ 2753 ], "I3": [ 4227 ], "O": [ 1639 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4227 ], "CO": [ 4229 ], "I0": [ 2792 ], "I1": [ 2753 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2794 ], "I2": [ 2760 ], "I3": [ 4229 ], "O": [ 1644 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2765 ], "I2": [ 2382 ], "I3": [ 4228 ], "O": [ 1508 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4228 ], "CO": [ 4230 ], "I0": [ 2765 ], "I1": [ 2382 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2766 ], "I2": [ 2386 ], "I3": [ 4230 ], "O": [ 1512 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4230 ], "CO": [ 4231 ], "I0": [ 2766 ], "I1": [ 2386 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2767 ], "I2": [ 2407 ], "I3": [ 4231 ], "O": [ 1516 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4231 ], "CO": [ 4232 ], "I0": [ 2767 ], "I1": [ 2407 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2768 ], "I2": [ 2428 ], "I3": [ 4232 ], "O": [ 1520 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4232 ], "CO": [ 4233 ], "I0": [ 2768 ], "I1": [ 2428 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2769 ], "I2": [ 2449 ], "I3": [ 4233 ], "O": [ 1525 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4233 ], "CO": [ 4234 ], "I0": [ 2769 ], "I1": [ 2449 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2770 ], "I2": [ 2470 ], "I3": [ 4234 ], "O": [ 1530 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4234 ], "CO": [ 4235 ], "I0": [ 2770 ], "I1": [ 2470 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2771 ], "I2": [ 2491 ], "I3": [ 4235 ], "O": [ 1535 ] } }, "$auto$maccmap.cc:240:synth$3325.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4235 ], "CO": [ 4207 ], "I0": [ 2771 ], "I1": [ 2491 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 2380 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 1650 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3188 ], "I2": [ 2928 ], "I3": [ 4236 ], "O": [ 1746 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4236 ], "CO": [ 4237 ], "I0": [ 3188 ], "I1": [ 2928 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3189 ], "I2": [ 2949 ], "I3": [ 4237 ], "O": [ 1751 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4237 ], "CO": [ 4238 ], "I0": [ 3189 ], "I1": [ 2949 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3190 ], "I2": [ 2970 ], "I3": [ 4238 ], "O": [ 1756 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4238 ], "CO": [ 4239 ], "I0": [ 3190 ], "I1": [ 2970 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3191 ], "I2": [ 2991 ], "I3": [ 4239 ], "O": [ 1761 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4239 ], "CO": [ 4240 ], "I0": [ 3191 ], "I1": [ 2991 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3192 ], "I2": [ 3012 ], "I3": [ 4240 ], "O": [ 1766 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4240 ], "CO": [ 4241 ], "I0": [ 3192 ], "I1": [ 3012 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3193 ], "I2": [ 3033 ], "I3": [ 4241 ], "O": [ 1771 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4241 ], "CO": [ 4242 ], "I0": [ 3193 ], "I1": [ 3033 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3194 ], "I2": [ 3054 ], "I3": [ 4242 ], "O": [ 1776 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4242 ], "CO": [ 4243 ], "I0": [ 3194 ], "I1": [ 3054 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3195 ], "I2": [ 3075 ], "I3": [ 4243 ], "O": [ 1781 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4243 ], "CO": [ 4244 ], "I0": [ 3195 ], "I1": [ 3075 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3196 ], "I2": [ 3085 ], "I3": [ 4244 ], "O": [ 1786 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4244 ], "CO": [ 4245 ], "I0": [ 3196 ], "I1": [ 3085 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3197 ], "I2": [ 3092 ], "I3": [ 4245 ], "O": [ 1791 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4245 ], "CO": [ 4246 ], "I0": [ 3197 ], "I1": [ 3092 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3198 ], "I2": [ 3099 ], "I3": [ 4246 ], "O": [ 1796 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4246 ], "CO": [ 4247 ], "I0": [ 3198 ], "I1": [ 3099 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3199 ], "I2": [ 3106 ], "I3": [ 4247 ], "O": [ 1801 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4247 ], "CO": [ 4248 ], "I0": [ 3199 ], "I1": [ 3106 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3200 ], "I2": [ 3113 ], "I3": [ 4248 ], "O": [ 1806 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4248 ], "CO": [ 4249 ], "I0": [ 3200 ], "I1": [ 3113 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3201 ], "I2": [ 3120 ], "I3": [ 4249 ], "O": [ 1811 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4249 ], "CO": [ 4250 ], "I0": [ 3201 ], "I1": [ 3120 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3202 ], "I2": [ 3127 ], "I3": [ 4250 ], "O": [ 1816 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4250 ], "CO": [ 4251 ], "I0": [ 3202 ], "I1": [ 3127 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3203 ], "I2": [ 3134 ], "I3": [ 4251 ], "O": [ 1821 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4251 ], "CO": [ 4252 ], "I0": [ 3203 ], "I1": [ 3134 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3204 ], "I2": [ 3141 ], "I3": [ 4252 ], "O": [ 1826 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4252 ], "CO": [ 4253 ], "I0": [ 3204 ], "I1": [ 3141 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3205 ], "I2": [ 3148 ], "I3": [ 4253 ], "O": [ 1831 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4253 ], "CO": [ 4254 ], "I0": [ 3205 ], "I1": [ 3148 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3206 ], "I2": [ 3155 ], "I3": [ 4254 ], "O": [ 1836 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4254 ], "CO": [ 4255 ], "I0": [ 3206 ], "I1": [ 3155 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3207 ], "I2": [ 3162 ], "I3": [ 4255 ], "O": [ 1841 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4255 ], "CO": [ 4256 ], "I0": [ 3207 ], "I1": [ 3162 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 4257 ], "I0": [ 1689 ], "I1": [ 1684 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3208 ], "I2": [ 3169 ], "I3": [ 4256 ], "O": [ 1846 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4256 ], "CO": [ 4258 ], "I0": [ 3208 ], "I1": [ 3169 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3212 ], "I2": [ 3176 ], "I3": [ 4258 ], "O": [ 1851 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3181 ], "I2": [ 2798 ], "I3": [ 4257 ], "O": [ 1711 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4257 ], "CO": [ 4259 ], "I0": [ 3181 ], "I1": [ 2798 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3182 ], "I2": [ 2802 ], "I3": [ 4259 ], "O": [ 1716 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4259 ], "CO": [ 4260 ], "I0": [ 3182 ], "I1": [ 2802 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3183 ], "I2": [ 2823 ], "I3": [ 4260 ], "O": [ 1721 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4260 ], "CO": [ 4261 ], "I0": [ 3183 ], "I1": [ 2823 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3184 ], "I2": [ 2844 ], "I3": [ 4261 ], "O": [ 1726 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4261 ], "CO": [ 4262 ], "I0": [ 3184 ], "I1": [ 2844 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3185 ], "I2": [ 2865 ], "I3": [ 4262 ], "O": [ 1731 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4262 ], "CO": [ 4263 ], "I0": [ 3185 ], "I1": [ 2865 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3186 ], "I2": [ 2886 ], "I3": [ 4263 ], "O": [ 1736 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4263 ], "CO": [ 4264 ], "I0": [ 3186 ], "I1": [ 2886 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3187 ], "I2": [ 2907 ], "I3": [ 4264 ], "O": [ 1741 ] } }, "$auto$maccmap.cc:240:synth$3496.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 4264 ], "CO": [ 4236 ], "I0": [ 3187 ], "I1": [ 2907 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10333": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2372 ], "Q": [ 1180 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10334": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2373 ], "Q": [ 1188 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10335": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2374 ], "Q": [ 1194 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10336": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2375 ], "Q": [ 1200 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10337": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2376 ], "Q": [ 1206 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10338": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2377 ], "Q": [ 1212 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10339": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2378 ], "Q": [ 1218 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10340": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2379 ], "Q": [ 1224 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10382": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2364 ], "Q": [ 1176 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10383": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2365 ], "Q": [ 1185 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10384": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2366 ], "Q": [ 1191 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10385": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2367 ], "Q": [ 1197 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10386": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2368 ], "Q": [ 1203 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10387": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2369 ], "Q": [ 1209 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10388": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2370 ], "Q": [ 1215 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10389": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2371 ], "Q": [ 1221 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10595": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 413 ], "Q": [ 769 ], "R": [ 401 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10598": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3215 ], "Q": [ 1182 ], "R": [ 465 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10649": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 134 ], "Q": [ 220 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10650": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3948 ], "E": [ 203 ], "Q": [ 191 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10651": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3592 ], "E": [ 192 ], "Q": [ 373 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10652": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3950 ], "E": [ 203 ], "Q": [ 379 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10653": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3952 ], "E": [ 203 ], "Q": [ 366 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10654": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3954 ], "E": [ 203 ], "Q": [ 249 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10655": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3956 ], "E": [ 203 ], "Q": [ 388 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10656": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3958 ], "E": [ 203 ], "Q": [ 381 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10657": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3960 ], "E": [ 203 ], "Q": [ 360 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10658": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 418 ], "Q": [ 213 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10659": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 421 ], "Q": [ 214 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10660": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 440 ], "Q": [ 215 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10661": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 430 ], "Q": [ 216 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10662": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 408 ], "Q": [ 209 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10663": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 423 ], "Q": [ 210 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10664": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 433 ], "Q": [ 211 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10665": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 443 ], "Q": [ 212 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10666": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 10 ], "E": [ 205 ], "Q": [ 221 ], "R": [ 11 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10668": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 764 ], "E": [ 219 ], "Q": [ 4265 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10669": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3613 ], "E": [ 217 ], "Q": [ 773 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10670": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3616 ], "E": [ 217 ], "Q": [ 774 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10671": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3620 ], "E": [ 217 ], "Q": [ 3976 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10672": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3624 ], "E": [ 217 ], "Q": [ 3978 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10673": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3628 ], "E": [ 217 ], "Q": [ 3980 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10674": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3632 ], "E": [ 217 ], "Q": [ 3982 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10675": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3636 ], "E": [ 217 ], "Q": [ 3984 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10676": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3640 ], "E": [ 217 ], "Q": [ 3986 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10677": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3644 ], "E": [ 217 ], "Q": [ 3961 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10678": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3648 ], "E": [ 217 ], "Q": [ 3964 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10679": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3652 ], "E": [ 217 ], "Q": [ 3966 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10680": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3656 ], "E": [ 217 ], "Q": [ 3968 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10681": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3660 ], "E": [ 217 ], "Q": [ 3970 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10682": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3664 ], "E": [ 217 ], "Q": [ 3972 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10683": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3668 ], "E": [ 217 ], "Q": [ 3974 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10684": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4265 ], "E": [ 222 ], "Q": [ 167 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10685": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 773 ], "E": [ 222 ], "Q": [ 156 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10686": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 774 ], "E": [ 222 ], "Q": [ 977 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10687": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3976 ], "E": [ 222 ], "Q": [ 986 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10688": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3978 ], "E": [ 222 ], "Q": [ 995 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10689": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3980 ], "E": [ 222 ], "Q": [ 1004 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10690": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3982 ], "E": [ 222 ], "Q": [ 1013 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10691": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3984 ], "E": [ 222 ], "Q": [ 1022 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10692": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3986 ], "E": [ 222 ], "Q": [ 1031 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10693": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3961 ], "E": [ 222 ], "Q": [ 1040 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10694": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3964 ], "E": [ 222 ], "Q": [ 1049 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10695": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3966 ], "E": [ 222 ], "Q": [ 1058 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10696": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3968 ], "E": [ 222 ], "Q": [ 1067 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10697": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3970 ], "E": [ 222 ], "Q": [ 1076 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10698": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3972 ], "E": [ 222 ], "Q": [ 1085 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10699": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3974 ], "E": [ 222 ], "Q": [ 1094 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10700": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 222 ], "E": [ 223 ], "Q": [ 160 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10701": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 227 ], "E": [ 226 ], "Q": [ 93 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10702": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 233 ], "E": [ 225 ], "Q": [ 238 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10703": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 234 ], "E": [ 225 ], "Q": [ 236 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10704": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 124 ], "E": [ 225 ], "Q": [ 123 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10705": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 121 ], "E": [ 225 ], "Q": [ 120 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10706": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 127 ], "E": [ 225 ], "Q": [ 126 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10707": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 130 ], "E": [ 225 ], "Q": [ 129 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10708": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 822 ], "E": [ 225 ], "Q": [ 819 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10709": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 826 ], "E": [ 225 ], "Q": [ 824 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10710": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 831 ], "E": [ 225 ], "Q": [ 828 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10711": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 836 ], "E": [ 225 ], "Q": [ 833 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10712": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 841 ], "E": [ 225 ], "Q": [ 838 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10713": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 846 ], "E": [ 225 ], "Q": [ 843 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10714": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 851 ], "E": [ 225 ], "Q": [ 848 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10715": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 856 ], "E": [ 225 ], "Q": [ 853 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10716": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 861 ], "E": [ 225 ], "Q": [ 858 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10717": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 863 ], "E": [ 225 ], "Q": [ 243 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10718": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 864 ], "E": [ 225 ], "Q": [ 92 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10719": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 866 ], "E": [ 225 ], "Q": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10720": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 868 ], "E": [ 225 ], "Q": [ 99 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10721": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 870 ], "E": [ 225 ], "Q": [ 102 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10722": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 872 ], "E": [ 225 ], "Q": [ 105 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10723": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 874 ], "E": [ 225 ], "Q": [ 108 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10724": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 876 ], "E": [ 225 ], "Q": [ 111 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10725": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 878 ], "E": [ 225 ], "Q": [ 114 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10726": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 880 ], "E": [ 225 ], "Q": [ 117 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10727": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 923 ], "E": [ 225 ], "Q": [ 922 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10728": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 928 ], "E": [ 225 ], "Q": [ 927 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10729": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 933 ], "E": [ 225 ], "Q": [ 932 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10730": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 938 ], "E": [ 225 ], "Q": [ 937 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10731": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 943 ], "E": [ 225 ], "Q": [ 942 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10732": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 948 ], "E": [ 225 ], "Q": [ 947 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10733": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 953 ], "E": [ 225 ], "Q": [ 952 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10734": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 245 ], "E": [ 228 ], "Q": [ 244 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10735": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1431 ], "E": [ 246 ], "Q": [ 237 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10736": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1434 ], "E": [ 246 ], "Q": [ 235 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10737": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2361 ], "E": [ 246 ], "Q": [ 122 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10738": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 557 ], "E": [ 246 ], "Q": [ 119 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10739": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 613 ], "E": [ 246 ], "Q": [ 125 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10740": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 650 ], "E": [ 246 ], "Q": [ 128 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10741": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2358 ], "E": [ 246 ], "Q": [ 818 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10742": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 970 ], "E": [ 246 ], "Q": [ 823 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10743": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1288 ], "E": [ 246 ], "Q": [ 827 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10744": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1175 ], "E": [ 246 ], "Q": [ 832 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10745": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1172 ], "E": [ 246 ], "Q": [ 837 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10746": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1145 ], "E": [ 246 ], "Q": [ 842 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10747": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1137 ], "E": [ 246 ], "Q": [ 847 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10748": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1134 ], "E": [ 246 ], "Q": [ 852 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10749": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1131 ], "E": [ 246 ], "Q": [ 857 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10750": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1128 ], "E": [ 246 ], "Q": [ 242 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10751": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 973 ], "E": [ 246 ], "Q": [ 91 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10752": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 967 ], "E": [ 246 ], "Q": [ 95 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10753": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 964 ], "E": [ 246 ], "Q": [ 98 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10754": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 961 ], "E": [ 246 ], "Q": [ 101 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10755": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 958 ], "E": [ 246 ], "Q": [ 104 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10756": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 761 ], "E": [ 246 ], "Q": [ 107 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10757": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 758 ], "E": [ 246 ], "Q": [ 110 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10758": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 755 ], "E": [ 246 ], "Q": [ 113 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10759": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 752 ], "E": [ 246 ], "Q": [ 116 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10760": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 749 ], "E": [ 246 ], "Q": [ 921 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10761": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 746 ], "E": [ 246 ], "Q": [ 926 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10762": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 743 ], "E": [ 246 ], "Q": [ 931 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10763": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 740 ], "E": [ 246 ], "Q": [ 936 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10764": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 737 ], "E": [ 246 ], "Q": [ 941 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10765": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 734 ], "E": [ 246 ], "Q": [ 946 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10766": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 731 ], "E": [ 246 ], "Q": [ 951 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10767": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 367 ], "Q": [ 247 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10768": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 376 ], "Q": [ 377 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10769": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 881 ], "Q": [ 382 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10770": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 369 ], "Q": [ 370 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10771": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 882 ], "Q": [ 248 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10772": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 883 ], "Q": [ 390 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10773": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 884 ], "Q": [ 384 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10774": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 392 ], "Q": [ 393 ], "R": [ 3579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10775": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 233 ], "E": [ 255 ], "Q": [ 80 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10776": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 234 ], "E": [ 255 ], "Q": [ 81 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10777": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 124 ], "E": [ 255 ], "Q": [ 83 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10778": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 121 ], "E": [ 255 ], "Q": [ 85 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10779": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 127 ], "E": [ 255 ], "Q": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10780": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 130 ], "E": [ 255 ], "Q": [ 87 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10781": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 886 ], "E": [ 253 ], "Q": [ 251 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10782": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 888 ], "E": [ 254 ], "Q": [ 887 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10783": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 890 ], "E": [ 253 ], "Q": [ 3994 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10784": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 892 ], "E": [ 253 ], "Q": [ 3996 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10785": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 894 ], "E": [ 253 ], "Q": [ 3998 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10786": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 896 ], "E": [ 253 ], "Q": [ 4000 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10787": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 898 ], "E": [ 253 ], "Q": [ 4002 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10788": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 900 ], "E": [ 253 ], "Q": [ 4004 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10789": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 902 ], "E": [ 253 ], "Q": [ 4006 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10790": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 904 ], "E": [ 253 ], "Q": [ 4007 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10791": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 94 ], "E": [ 255 ], "Q": [ 4266 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10792": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 906 ], "E": [ 256 ], "Q": [ 905 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10793": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 908 ], "E": [ 253 ], "Q": [ 4023 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10794": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 910 ], "E": [ 253 ], "Q": [ 4025 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10795": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 912 ], "E": [ 253 ], "Q": [ 4027 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10796": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 914 ], "E": [ 253 ], "Q": [ 4029 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10797": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 916 ], "E": [ 253 ], "Q": [ 4031 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10798": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 918 ], "E": [ 253 ], "Q": [ 4033 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10799": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 920 ], "E": [ 253 ], "Q": [ 4035 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10800": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 925 ], "E": [ 253 ], "Q": [ 4008 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10801": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 930 ], "E": [ 253 ], "Q": [ 4011 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10802": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 935 ], "E": [ 253 ], "Q": [ 4013 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10803": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 940 ], "E": [ 253 ], "Q": [ 4015 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10804": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 945 ], "E": [ 253 ], "Q": [ 4017 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10805": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 950 ], "E": [ 253 ], "Q": [ 4019 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10806": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 955 ], "E": [ 253 ], "Q": [ 4021 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10807": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 231 ], "E": [ 225 ], "Q": [ 224 ] } }, "$auto$simplemap.cc:420:simplemap_dff$10808": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 545 ], "Q": [ 548 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11492": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4267 ], "Q": [ 1672 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11493": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4268 ], "Q": [ 1678 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11494": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4269 ], "Q": [ 1698 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11495": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4270 ], "Q": [ 2810 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11496": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4271 ], "Q": [ 2831 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11497": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4272 ], "Q": [ 2852 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11498": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4273 ], "Q": [ 2873 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11499": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4274 ], "Q": [ 2894 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11500": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4275 ], "Q": [ 2915 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11501": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4276 ], "Q": [ 2936 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11502": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4277 ], "Q": [ 2957 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11503": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4278 ], "Q": [ 2978 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11504": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4279 ], "Q": [ 2999 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11505": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4280 ], "Q": [ 3020 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11506": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4281 ], "Q": [ 3041 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11507": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4282 ], "Q": [ 3062 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11508": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4283 ], "Q": [ 1671 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11509": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4284 ], "Q": [ 1677 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11510": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4285 ], "Q": [ 1697 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11511": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4286 ], "Q": [ 2809 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11512": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4287 ], "Q": [ 2830 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11513": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4288 ], "Q": [ 2851 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11514": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4289 ], "Q": [ 2872 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11515": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4290 ], "Q": [ 2893 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11516": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4291 ], "Q": [ 2914 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11517": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4292 ], "Q": [ 2935 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11518": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4293 ], "Q": [ 2956 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11519": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4294 ], "Q": [ 2977 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11520": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4295 ], "Q": [ 2998 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11521": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4296 ], "Q": [ 3019 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11522": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4297 ], "Q": [ 3040 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11523": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4298 ], "Q": [ 3061 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11524": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4299 ], "Q": [ 1661 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11525": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4300 ], "Q": [ 1680 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11526": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4301 ], "Q": [ 1700 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11527": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4302 ], "Q": [ 2812 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11528": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4303 ], "Q": [ 2833 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11529": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4304 ], "Q": [ 2854 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11530": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4305 ], "Q": [ 2875 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11531": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4306 ], "Q": [ 2896 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11532": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4307 ], "Q": [ 2917 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11533": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4308 ], "Q": [ 2938 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11534": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4309 ], "Q": [ 2959 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11535": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4310 ], "Q": [ 2980 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11536": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4311 ], "Q": [ 3001 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11537": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4312 ], "Q": [ 3022 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11538": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4313 ], "Q": [ 3043 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11539": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4314 ], "Q": [ 3064 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11540": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4315 ], "Q": [ 1662 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11541": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4316 ], "Q": [ 1681 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11542": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4317 ], "Q": [ 1701 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11543": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4318 ], "Q": [ 2813 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11544": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4319 ], "Q": [ 2834 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11545": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4320 ], "Q": [ 2855 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11546": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4321 ], "Q": [ 2876 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11547": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4322 ], "Q": [ 2897 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11548": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4323 ], "Q": [ 2918 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11549": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4324 ], "Q": [ 2939 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11550": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4325 ], "Q": [ 2960 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11551": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4326 ], "Q": [ 2981 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11552": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4327 ], "Q": [ 3002 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11553": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4328 ], "Q": [ 3023 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11554": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4329 ], "Q": [ 3044 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11555": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4330 ], "Q": [ 3065 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11557": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4331 ], "Q": [ 1660 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11558": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4332 ], "Q": [ 1679 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11559": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4333 ], "Q": [ 1699 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11560": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4334 ], "Q": [ 2811 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11561": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4335 ], "Q": [ 2832 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11562": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4336 ], "Q": [ 2853 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11563": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4337 ], "Q": [ 2874 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11564": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4338 ], "Q": [ 2895 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11565": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4339 ], "Q": [ 2916 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11566": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4340 ], "Q": [ 2937 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11567": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4341 ], "Q": [ 2958 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11568": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4342 ], "Q": [ 2979 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11569": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4343 ], "Q": [ 3000 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11570": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4344 ], "Q": [ 3021 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11571": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4345 ], "Q": [ 3042 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11572": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4346 ], "Q": [ 3063 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11573": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4347 ], "Q": [ 1667 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11574": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4348 ], "Q": [ 1664 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11575": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4349 ], "Q": [ 1706 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11576": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4350 ], "Q": [ 2818 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11577": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4351 ], "Q": [ 2839 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11578": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4352 ], "Q": [ 2860 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11579": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4353 ], "Q": [ 2881 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11580": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4354 ], "Q": [ 2902 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11581": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4355 ], "Q": [ 2923 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11582": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4356 ], "Q": [ 2944 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11583": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4357 ], "Q": [ 2965 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11584": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4358 ], "Q": [ 2986 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11585": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4359 ], "Q": [ 3007 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11586": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4360 ], "Q": [ 3028 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11587": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4361 ], "Q": [ 3049 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11588": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4362 ], "Q": [ 3070 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11589": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4363 ], "Q": [ 1668 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11590": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4364 ], "Q": [ 1665 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11591": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4365 ], "Q": [ 1707 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11592": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4366 ], "Q": [ 2819 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11593": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4367 ], "Q": [ 2840 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11594": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4368 ], "Q": [ 2861 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11595": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4369 ], "Q": [ 2882 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11596": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4370 ], "Q": [ 2903 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11597": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4371 ], "Q": [ 2924 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11598": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4372 ], "Q": [ 2945 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11599": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4373 ], "Q": [ 2966 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11600": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4374 ], "Q": [ 2987 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11601": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4375 ], "Q": [ 3008 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11602": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4376 ], "Q": [ 3029 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11603": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4377 ], "Q": [ 3050 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11604": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4378 ], "Q": [ 3071 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11605": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4379 ], "Q": [ 1666 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11606": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4380 ], "Q": [ 1663 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11607": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4381 ], "Q": [ 1705 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11608": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4382 ], "Q": [ 2817 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11609": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4383 ], "Q": [ 2838 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11610": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4384 ], "Q": [ 2859 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11611": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4385 ], "Q": [ 2880 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11612": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4386 ], "Q": [ 2901 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11613": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4387 ], "Q": [ 2922 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11614": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4388 ], "Q": [ 2943 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11615": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4389 ], "Q": [ 2964 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11616": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4390 ], "Q": [ 2985 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11617": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4391 ], "Q": [ 3006 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11618": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4392 ], "Q": [ 3027 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11619": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4393 ], "Q": [ 3048 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11620": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4394 ], "Q": [ 3069 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11622": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4395 ], "Q": [ 1466 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11623": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4396 ], "Q": [ 1472 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11624": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4397 ], "Q": [ 1495 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11625": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4398 ], "Q": [ 2394 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11626": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4399 ], "Q": [ 2415 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11627": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4400 ], "Q": [ 2436 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11628": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4401 ], "Q": [ 2457 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11629": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4402 ], "Q": [ 2478 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11630": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4403 ], "Q": [ 2499 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11631": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4404 ], "Q": [ 2520 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11632": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4405 ], "Q": [ 2541 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11633": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4406 ], "Q": [ 2562 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11634": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4407 ], "Q": [ 2583 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11635": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4408 ], "Q": [ 2604 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11636": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4409 ], "Q": [ 2625 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11637": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4410 ], "Q": [ 2646 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11638": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4411 ], "Q": [ 1465 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11639": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4412 ], "Q": [ 1471 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11640": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4413 ], "Q": [ 1494 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11641": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4414 ], "Q": [ 2393 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11642": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4415 ], "Q": [ 2414 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11643": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4416 ], "Q": [ 2435 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11644": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4417 ], "Q": [ 2456 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11645": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4418 ], "Q": [ 2477 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11646": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4419 ], "Q": [ 2498 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11647": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4420 ], "Q": [ 2519 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11648": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4421 ], "Q": [ 2540 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11649": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4422 ], "Q": [ 2561 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11650": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4423 ], "Q": [ 2582 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11651": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4424 ], "Q": [ 2603 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11652": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4425 ], "Q": [ 2624 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11653": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4426 ], "Q": [ 2645 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11654": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4427 ], "Q": [ 1455 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11655": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4428 ], "Q": [ 1474 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11656": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4429 ], "Q": [ 1497 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11657": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4430 ], "Q": [ 2396 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11658": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4431 ], "Q": [ 2417 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11659": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4432 ], "Q": [ 2438 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11660": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4433 ], "Q": [ 2459 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11661": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4434 ], "Q": [ 2480 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11662": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4435 ], "Q": [ 2501 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11663": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4436 ], "Q": [ 2522 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11664": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4437 ], "Q": [ 2543 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11665": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4438 ], "Q": [ 2564 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11666": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4439 ], "Q": [ 2585 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11667": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4440 ], "Q": [ 2606 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11668": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4441 ], "Q": [ 2627 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11669": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4442 ], "Q": [ 2648 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11670": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4443 ], "Q": [ 1456 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11671": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4444 ], "Q": [ 1475 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11672": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4445 ], "Q": [ 1498 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11673": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4446 ], "Q": [ 2397 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11674": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4447 ], "Q": [ 2418 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11675": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4448 ], "Q": [ 2439 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11676": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4449 ], "Q": [ 2460 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11677": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4450 ], "Q": [ 2481 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11678": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4451 ], "Q": [ 2502 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11679": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4452 ], "Q": [ 2523 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11680": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4453 ], "Q": [ 2544 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11681": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4454 ], "Q": [ 2565 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11682": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4455 ], "Q": [ 2586 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11683": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4456 ], "Q": [ 2607 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11684": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4457 ], "Q": [ 2628 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11685": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4458 ], "Q": [ 2649 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11687": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4459 ], "Q": [ 1454 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11688": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4460 ], "Q": [ 1473 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11689": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4461 ], "Q": [ 1496 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11690": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4462 ], "Q": [ 2395 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11691": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4463 ], "Q": [ 2416 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11692": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4464 ], "Q": [ 2437 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11693": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4465 ], "Q": [ 2458 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11694": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4466 ], "Q": [ 2479 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11695": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4467 ], "Q": [ 2500 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11696": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4468 ], "Q": [ 2521 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11697": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4469 ], "Q": [ 2542 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11698": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4470 ], "Q": [ 2563 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11699": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4471 ], "Q": [ 2584 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11700": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4472 ], "Q": [ 2605 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11701": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4473 ], "Q": [ 2626 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11702": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4474 ], "Q": [ 2647 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11703": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4475 ], "Q": [ 1461 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11704": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4476 ], "Q": [ 1458 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11705": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4477 ], "Q": [ 1503 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11706": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4478 ], "Q": [ 2402 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11707": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4479 ], "Q": [ 2423 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11708": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4480 ], "Q": [ 2444 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11709": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4481 ], "Q": [ 2465 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11710": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4482 ], "Q": [ 2486 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11711": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4483 ], "Q": [ 2507 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11712": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4484 ], "Q": [ 2528 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11713": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4485 ], "Q": [ 2549 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11714": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4486 ], "Q": [ 2570 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11715": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4487 ], "Q": [ 2591 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11716": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4488 ], "Q": [ 2612 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11717": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4489 ], "Q": [ 2633 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11718": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4490 ], "Q": [ 2654 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11719": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4491 ], "Q": [ 1462 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11720": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4492 ], "Q": [ 1459 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11721": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4493 ], "Q": [ 1504 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11722": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4494 ], "Q": [ 2403 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11723": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4495 ], "Q": [ 2424 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11724": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4496 ], "Q": [ 2445 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11725": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4497 ], "Q": [ 2466 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11726": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4498 ], "Q": [ 2487 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11727": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4499 ], "Q": [ 2508 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11728": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4500 ], "Q": [ 2529 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11729": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4501 ], "Q": [ 2550 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11730": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4502 ], "Q": [ 2571 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11731": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4503 ], "Q": [ 2592 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11732": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4504 ], "Q": [ 2613 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11733": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4505 ], "Q": [ 2634 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11734": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4506 ], "Q": [ 2655 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11735": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4507 ], "Q": [ 1460 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11736": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4508 ], "Q": [ 1457 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11737": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4509 ], "Q": [ 1502 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11738": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4510 ], "Q": [ 2401 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11739": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4511 ], "Q": [ 2422 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11740": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4512 ], "Q": [ 2443 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11741": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4513 ], "Q": [ 2464 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11742": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4514 ], "Q": [ 2485 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11743": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4515 ], "Q": [ 2506 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11744": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4516 ], "Q": [ 2527 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11745": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4517 ], "Q": [ 2548 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11746": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4518 ], "Q": [ 2569 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11747": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4519 ], "Q": [ 2590 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11748": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4520 ], "Q": [ 2611 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11749": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4521 ], "Q": [ 2632 ] } }, "$auto$simplemap.cc:420:simplemap_dff$11750": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4522 ], "Q": [ 2653 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2873": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 195 ], "Q": [ 1430 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2874": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 198 ], "Q": [ 1433 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2875": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 201 ], "Q": [ 2360 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2876": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 202 ], "Q": [ 554 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2877": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 199 ], "Q": [ 612 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2878": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 200 ], "Q": [ 649 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2879": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1521 ], "Q": [ 2357 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2880": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1526 ], "Q": [ 969 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2881": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1531 ], "Q": [ 1287 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2882": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1536 ], "Q": [ 1174 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2883": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1541 ], "Q": [ 1171 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2884": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1546 ], "Q": [ 1144 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2885": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1551 ], "Q": [ 1136 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2886": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1556 ], "Q": [ 1133 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2887": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1561 ], "Q": [ 1130 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2888": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1566 ], "Q": [ 1127 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2893": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 766 ], "Q": [ 972 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2894": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1575 ], "Q": [ 966 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2895": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1580 ], "Q": [ 963 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2896": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1585 ], "Q": [ 960 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2897": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1590 ], "Q": [ 957 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2898": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1595 ], "Q": [ 760 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2899": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1600 ], "Q": [ 757 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2900": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1605 ], "Q": [ 754 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2901": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1610 ], "Q": [ 751 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2902": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1615 ], "Q": [ 748 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2903": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1620 ], "Q": [ 745 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2904": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1625 ], "Q": [ 742 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2905": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1630 ], "Q": [ 739 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2906": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1635 ], "Q": [ 736 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2907": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1640 ], "Q": [ 733 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2908": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1645 ], "Q": [ 730 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2910": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 396 ], "Q": [ 395 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2911": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 454 ], "Q": [ 453 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2912": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 455 ], "Q": [ 88 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2913": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 452 ], "Q": [ 450 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2914": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 449 ], "Q": [ 297 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2915": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 447 ], "Q": [ 298 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2916": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 79 ], "Q": [ 77 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2917": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 461 ], "Q": [ 448 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2918": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 460 ], "Q": [ 446 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2919": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 90 ], "Q": [ 89 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2920": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 62 ], "Q": [ 61 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2921": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 456 ], "Q": [ 290 ] } }, "$auto$simplemap.cc:420:simplemap_dff$2922": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 397 ], "Q": [ 292 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3598": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 142 ], "Q": [ 154 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3599": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 550 ], "Q": [ 289 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3600": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 155 ], "Q": [ 158 ], "R": [ 144 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3601": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 158 ], "Q": [ 159 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3602": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 547 ], "Q": [ 1240 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3603": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1240 ], "Q": [ 1241 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3604": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1241 ], "Q": [ 1242 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3605": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1242 ], "Q": [ 1243 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3607": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1291 ], "Q": [ 306 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3608": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1230 ], "Q": [ 1234 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3609": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1246 ], "Q": [ 1249 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3610": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1252 ], "Q": [ 1255 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3611": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1258 ], "Q": [ 1261 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3612": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1264 ], "Q": [ 1267 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3613": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1270 ], "Q": [ 1273 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3614": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1276 ], "Q": [ 1279 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3615": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1282 ], "Q": [ 1285 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3616": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3585 ], "E": [ 260 ], "Q": [ 151 ], "R": [ 3584 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3617": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 257 ], "E": [ 293 ], "Q": [ 152 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3618": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 257 ], "E": [ 293 ], "Q": [ 153 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3619": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1148 ], "Q": [ 165 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3620": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1151 ], "Q": [ 141 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3621": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1154 ], "Q": [ 974 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3622": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1157 ], "Q": [ 983 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3623": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1160 ], "Q": [ 992 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3624": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1163 ], "Q": [ 1001 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3625": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1166 ], "Q": [ 1010 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3626": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1169 ], "Q": [ 1019 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3627": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1102 ], "Q": [ 1028 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3628": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1106 ], "Q": [ 1037 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3629": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1109 ], "Q": [ 1046 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3630": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1112 ], "Q": [ 1055 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3631": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1115 ], "Q": [ 1064 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3632": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1118 ], "Q": [ 1073 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3633": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1121 ], "Q": [ 1082 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3634": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1124 ], "Q": [ 1091 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3635": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1179 ], "E": [ 294 ], "Q": [ 3253 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3636": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1186 ], "E": [ 294 ], "Q": [ 3259 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3637": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1192 ], "E": [ 294 ], "Q": [ 3265 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3638": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1198 ], "E": [ 294 ], "Q": [ 3271 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3639": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1204 ], "E": [ 294 ], "Q": [ 3277 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3640": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1210 ], "E": [ 294 ], "Q": [ 3283 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3641": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1216 ], "E": [ 294 ], "Q": [ 3289 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3642": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1222 ], "E": [ 294 ], "Q": [ 3295 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3643": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1183 ], "E": [ 294 ], "Q": [ 3300 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3644": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1189 ], "E": [ 294 ], "Q": [ 3304 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3645": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1195 ], "E": [ 294 ], "Q": [ 3308 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3646": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1201 ], "E": [ 294 ], "Q": [ 3312 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3647": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1207 ], "E": [ 294 ], "Q": [ 3316 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3648": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1213 ], "E": [ 294 ], "Q": [ 3320 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3649": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1219 ], "E": [ 294 ], "Q": [ 3324 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3650": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1225 ], "E": [ 294 ], "Q": [ 3328 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3651": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1125 ], "Q": [ 10 ], "R": [ 3580 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3652": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 17 ], "E": [ 295 ], "Q": [ 762 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3653": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 18 ], "E": [ 295 ], "Q": [ 3612 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3654": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 13 ], "E": [ 295 ], "Q": [ 3615 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3655": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 12 ], "E": [ 295 ], "Q": [ 3619 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3656": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 19 ], "E": [ 295 ], "Q": [ 3623 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3657": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 20 ], "E": [ 295 ], "Q": [ 3627 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3658": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 21 ], "E": [ 295 ], "Q": [ 3631 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3659": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 22 ], "E": [ 295 ], "Q": [ 3635 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3660": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 17 ], "E": [ 296 ], "Q": [ 3639 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3661": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 18 ], "E": [ 296 ], "Q": [ 3643 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3662": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 13 ], "E": [ 296 ], "Q": [ 3647 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3663": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 12 ], "E": [ 296 ], "Q": [ 3651 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3664": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 19 ], "E": [ 296 ], "Q": [ 3655 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3665": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 20 ], "E": [ 296 ], "Q": [ 3659 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3666": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 21 ], "E": [ 296 ], "Q": [ 3663 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3667": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 22 ], "E": [ 296 ], "Q": [ 3667 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3668": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3673 ], "Q": [ 277 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3669": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 509 ], "Q": [ 269 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3670": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 485 ], "Q": [ 273 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3671": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 491 ], "Q": [ 261 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3672": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 498 ], "Q": [ 281 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3673": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 472 ], "Q": [ 271 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3674": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1140 ], "Q": [ 285 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3675": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 497 ], "Q": [ 275 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3676": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 517 ], "Q": [ 283 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3677": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 462 ], "Q": [ 279 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3678": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3678 ], "Q": [ 287 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3679": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ "0" ], "E": [ 299 ], "Q": [ 278 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3680": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ "0" ], "E": [ 299 ], "Q": [ 270 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3681": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 17 ], "E": [ 299 ], "Q": [ 274 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3682": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 18 ], "E": [ 299 ], "Q": [ 262 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3683": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 13 ], "E": [ 299 ], "Q": [ 282 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3684": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 12 ], "E": [ 299 ], "Q": [ 272 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3685": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 19 ], "E": [ 299 ], "Q": [ 286 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3686": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 20 ], "E": [ 299 ], "Q": [ 276 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3687": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 21 ], "E": [ 299 ], "Q": [ 284 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3688": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 22 ], "E": [ 299 ], "Q": [ 280 ], "R": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3689": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 16 ], "E": [ 299 ], "Q": [ 288 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3699": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3214 ], "Q": [ 1178 ], "R": [ 465 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3700": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 536 ], "Q": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3701": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3746 ], "Q": [ 535 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3702": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3748 ], "Q": [ 534 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3703": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3750 ], "Q": [ 533 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3704": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3752 ], "Q": [ 532 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3726": { "hide_name": 1, "type": "SB_DFFN", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3587 ], "Q": [ 4523 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3727": { "hide_name": 1, "type": "SB_DFFN", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1295 ], "Q": [ 4524 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3728": { "hide_name": 1, "type": "SB_DFFN", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4525 ], "Q": [ 4526 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3729": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4523 ], "Q": [ 304 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3730": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4524 ], "Q": [ 301 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3731": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 311 ], "Q": [ 309 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3732": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4526 ], "Q": [ 311 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3733": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1319 ], "E": [ 302 ], "Q": [ 305 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3734": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 304 ], "E": [ 300 ], "Q": [ 1294 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3735": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1311 ], "E": [ 300 ], "Q": [ 4527 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3736": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1312 ], "E": [ 300 ], "Q": [ 4528 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3737": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1313 ], "E": [ 300 ], "Q": [ 4529 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3738": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1314 ], "E": [ 300 ], "Q": [ 4530 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3739": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1315 ], "E": [ 300 ], "Q": [ 1298 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3740": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1316 ], "E": [ 300 ], "Q": [ 1301 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3741": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1317 ], "E": [ 300 ], "Q": [ 1304 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3742": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1318 ], "E": [ 300 ], "Q": [ 1307 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3743": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4531 ], "E": [ 308 ], "Q": [ 17 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3744": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4532 ], "E": [ 308 ], "Q": [ 18 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3745": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4533 ], "E": [ 308 ], "Q": [ 13 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3746": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4534 ], "E": [ 308 ], "Q": [ 12 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3747": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4531 ], "E": [ 312 ], "Q": [ 19 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3748": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4532 ], "E": [ 312 ], "Q": [ 20 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3749": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4533 ], "E": [ 312 ], "Q": [ 21 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3750": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4534 ], "E": [ 312 ], "Q": [ 22 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3751": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1309 ], "E": [ 308 ], "Q": [ 74 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3752": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 307 ], "Q": [ 65 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3862": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3388 ], "Q": [ 195 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3863": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3396 ], "Q": [ 198 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3864": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3403 ], "Q": [ 201 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3865": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3410 ], "Q": [ 202 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3866": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3417 ], "Q": [ 199 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3867": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3424 ], "Q": [ 200 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3868": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3431 ], "Q": [ 1521 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3869": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3438 ], "Q": [ 1526 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3870": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3445 ], "Q": [ 1531 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3871": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3452 ], "Q": [ 1536 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3872": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3459 ], "Q": [ 1541 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3873": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3466 ], "Q": [ 1546 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3874": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3473 ], "Q": [ 1551 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3875": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3480 ], "Q": [ 1556 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3876": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3487 ], "Q": [ 1561 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3877": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3494 ], "Q": [ 1566 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3878": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3501 ], "Q": [ 766 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3879": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3504 ], "Q": [ 1575 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3880": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3507 ], "Q": [ 1580 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3881": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3510 ], "Q": [ 1585 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3882": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3513 ], "Q": [ 1590 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3883": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3516 ], "Q": [ 1595 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3884": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3519 ], "Q": [ 1600 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3885": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3522 ], "Q": [ 1605 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3886": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3525 ], "Q": [ 1610 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3887": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3528 ], "Q": [ 1615 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3888": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3531 ], "Q": [ 1620 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3889": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3534 ], "Q": [ 1625 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3890": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3537 ], "Q": [ 1630 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3891": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3540 ], "Q": [ 1635 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3892": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3543 ], "Q": [ 1640 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3893": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3546 ], "Q": [ 1645 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3894": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3547 ], "Q": [ 1651 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3895": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3548 ], "Q": [ 3679 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3896": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3549 ], "Q": [ 3683 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3897": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3550 ], "Q": [ 1712 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3898": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3551 ], "Q": [ 1717 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3899": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3552 ], "Q": [ 1722 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3900": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3553 ], "Q": [ 1727 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3901": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3554 ], "Q": [ 1732 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3902": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3555 ], "Q": [ 1737 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3903": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3556 ], "Q": [ 1742 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3904": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3557 ], "Q": [ 1747 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3905": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3558 ], "Q": [ 1752 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3906": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3559 ], "Q": [ 1757 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3907": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3560 ], "Q": [ 1762 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3908": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3561 ], "Q": [ 1767 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3909": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3562 ], "Q": [ 1772 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3910": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3563 ], "Q": [ 1777 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3911": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3564 ], "Q": [ 1782 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3912": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3565 ], "Q": [ 1787 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3913": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3566 ], "Q": [ 1792 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3914": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3567 ], "Q": [ 1797 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3915": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3568 ], "Q": [ 1802 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3916": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3569 ], "Q": [ 1807 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3917": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3570 ], "Q": [ 1812 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3918": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3571 ], "Q": [ 1817 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3919": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3572 ], "Q": [ 1822 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3920": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3573 ], "Q": [ 1827 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3921": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3574 ], "Q": [ 1832 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3922": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3575 ], "Q": [ 1837 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3923": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3576 ], "Q": [ 1842 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3924": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3577 ], "Q": [ 1847 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3925": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3578 ], "Q": [ 1852 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3926": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3590 ], "Q": [ 3391 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3927": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3591 ], "Q": [ 3387 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6891": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4265 ], "Q": [ 768 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6892": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3589 ], "Q": [ 771 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6893": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 775 ], "Q": [ 777 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6894": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3617 ], "Q": [ 780 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6895": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3621 ], "Q": [ 783 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6896": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3625 ], "Q": [ 785 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6897": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3629 ], "Q": [ 789 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6898": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3633 ], "Q": [ 792 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6899": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3637 ], "Q": [ 795 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6900": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3641 ], "Q": [ 798 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6901": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3645 ], "Q": [ 801 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6902": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3649 ], "Q": [ 804 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6903": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3653 ], "Q": [ 807 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6904": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3657 ], "Q": [ 810 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6905": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3661 ], "Q": [ 813 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6906": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3665 ], "Q": [ 816 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6907": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 531 ], "E": [ 24 ], "Q": [ 36 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6908": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4535 ], "Q": [ 53 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6909": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4536 ], "Q": [ 4535 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6910": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3248 ], "Q": [ 4536 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6911": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4537 ], "Q": [ 26 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6912": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4538 ], "Q": [ 4537 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6913": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 4539 ], "Q": [ 4538 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6914": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1392 ], "Q": [ 4539 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6916": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 80 ], "E": [ 24 ], "Q": [ 35 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6917": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 81 ], "E": [ 24 ], "Q": [ 37 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6918": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 83 ], "E": [ 24 ], "Q": [ 48 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6919": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 85 ], "E": [ 24 ], "Q": [ 39 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6920": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 86 ], "E": [ 24 ], "Q": [ 44 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6921": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 87 ], "E": [ 24 ], "Q": [ 46 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6922": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 251 ], "E": [ 24 ], "Q": [ 651 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6923": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 887 ], "E": [ 24 ], "Q": [ 654 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6924": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3994 ], "E": [ 24 ], "Q": [ 657 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6925": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3996 ], "E": [ 24 ], "Q": [ 660 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6926": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3998 ], "E": [ 24 ], "Q": [ 663 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6927": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4000 ], "E": [ 24 ], "Q": [ 666 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6928": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4002 ], "E": [ 24 ], "Q": [ 669 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6929": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4004 ], "E": [ 24 ], "Q": [ 672 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6930": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4006 ], "E": [ 24 ], "Q": [ 675 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6931": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4007 ], "E": [ 24 ], "Q": [ 678 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6932": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4266 ], "E": [ 24 ], "Q": [ 681 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6933": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 905 ], "E": [ 24 ], "Q": [ 684 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6934": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4023 ], "E": [ 24 ], "Q": [ 687 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6935": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4025 ], "E": [ 24 ], "Q": [ 690 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6936": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4027 ], "E": [ 24 ], "Q": [ 693 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6937": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4029 ], "E": [ 24 ], "Q": [ 696 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6938": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4031 ], "E": [ 24 ], "Q": [ 699 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6939": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4033 ], "E": [ 24 ], "Q": [ 702 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6940": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4035 ], "E": [ 24 ], "Q": [ 705 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6941": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4008 ], "E": [ 24 ], "Q": [ 708 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6942": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4011 ], "E": [ 24 ], "Q": [ 711 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6943": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4013 ], "E": [ 24 ], "Q": [ 714 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6944": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4015 ], "E": [ 24 ], "Q": [ 717 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6945": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4017 ], "E": [ 24 ], "Q": [ 720 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6946": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4019 ], "E": [ 24 ], "Q": [ 723 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6947": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4021 ], "E": [ 24 ], "Q": [ 726 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6948": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 543 ], "E": [ 24 ], "Q": [ 23 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6949": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 32 ], "Q": [ 4540 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6950": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 33 ], "Q": [ 4541 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6951": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 50 ], "Q": [ 4542 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6952": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 30 ], "Q": [ 4543 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6953": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 42 ], "Q": [ 4544 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6954": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 41 ], "Q": [ 4545 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6955": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 653 ], "Q": [ 4546 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6956": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 656 ], "Q": [ 4547 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6957": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 659 ], "Q": [ 4548 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6958": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 662 ], "Q": [ 4549 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6959": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 665 ], "Q": [ 4550 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6960": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 668 ], "Q": [ 4551 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6961": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 671 ], "Q": [ 4552 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6962": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 674 ], "Q": [ 4553 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6963": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 677 ], "Q": [ 4554 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6964": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 680 ], "Q": [ 4555 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6965": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 683 ], "Q": [ 4556 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6966": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 686 ], "Q": [ 4557 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6967": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 689 ], "Q": [ 4558 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6968": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 692 ], "Q": [ 4559 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6969": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 695 ], "Q": [ 4560 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6970": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 698 ], "Q": [ 4561 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6971": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 701 ], "Q": [ 4562 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6972": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 704 ], "Q": [ 4563 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6973": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 707 ], "Q": [ 4564 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6974": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 710 ], "Q": [ 4565 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6975": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 713 ], "Q": [ 4566 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6976": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 716 ], "Q": [ 4567 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6977": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 719 ], "Q": [ 4568 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6978": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 722 ], "Q": [ 4569 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6979": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 725 ], "Q": [ 4570 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6980": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 728 ], "Q": [ 4571 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6981": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 25 ], "Q": [ 541 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6982": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1394 ], "Q": [ 172 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6983": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1396 ], "Q": [ 164 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6984": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1398 ], "Q": [ 982 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6985": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1400 ], "Q": [ 991 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6986": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1402 ], "Q": [ 1000 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6987": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1404 ], "Q": [ 1009 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6988": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1406 ], "Q": [ 1018 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6989": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1408 ], "Q": [ 1027 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6990": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1410 ], "Q": [ 1036 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6991": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1412 ], "Q": [ 1045 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6992": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1414 ], "Q": [ 1054 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6993": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1416 ], "Q": [ 1063 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6994": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1418 ], "Q": [ 1072 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6995": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1420 ], "Q": [ 1081 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6996": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1422 ], "Q": [ 1090 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6997": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1424 ], "Q": [ 1099 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6998": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 52 ], "Q": [ 146 ], "R": [ 3581 ] } }, "$auto$simplemap.cc:420:simplemap_dff$6999": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3753 ], "E": [ 314 ], "Q": [ 614 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7000": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3769 ], "E": [ 314 ], "Q": [ 616 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7001": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3771 ], "E": [ 314 ], "Q": [ 618 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7002": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3773 ], "E": [ 314 ], "Q": [ 620 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7003": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3775 ], "E": [ 314 ], "Q": [ 622 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7004": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3777 ], "E": [ 314 ], "Q": [ 624 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7005": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3779 ], "E": [ 314 ], "Q": [ 626 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7006": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3781 ], "E": [ 314 ], "Q": [ 628 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7007": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3783 ], "E": [ 314 ], "Q": [ 630 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7008": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3785 ], "E": [ 314 ], "Q": [ 632 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7009": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3756 ], "E": [ 314 ], "Q": [ 634 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7010": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3758 ], "E": [ 314 ], "Q": [ 636 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7011": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3760 ], "E": [ 314 ], "Q": [ 638 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7012": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3762 ], "E": [ 314 ], "Q": [ 640 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7013": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3764 ], "E": [ 314 ], "Q": [ 642 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7014": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3766 ], "E": [ 314 ], "Q": [ 644 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7015": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3768 ], "E": [ 314 ], "Q": [ 646 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7016": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4540 ], "Q": [ 592 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7017": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4541 ], "Q": [ 321 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7018": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4542 ], "Q": [ 322 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7019": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4543 ], "Q": [ 323 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7020": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4544 ], "Q": [ 320 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7021": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4545 ], "Q": [ 317 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7022": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4546 ], "Q": [ 3802 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7023": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4547 ], "Q": [ 3805 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7024": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4548 ], "Q": [ 3808 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7025": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4549 ], "Q": [ 3811 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7026": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4550 ], "Q": [ 3814 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7027": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4551 ], "Q": [ 3817 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7028": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4552 ], "Q": [ 3820 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7029": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4553 ], "Q": [ 3823 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7030": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4554 ], "Q": [ 3826 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7031": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4555 ], "Q": [ 4572 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7032": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4556 ], "Q": [ 4573 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7033": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4557 ], "Q": [ 4574 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7034": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4558 ], "Q": [ 4575 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7035": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4559 ], "Q": [ 4576 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7036": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4560 ], "Q": [ 4577 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7037": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4561 ], "Q": [ 4578 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7038": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4562 ], "Q": [ 4579 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7039": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4563 ], "Q": [ 4580 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7040": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4564 ], "Q": [ 4581 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7041": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4565 ], "Q": [ 4582 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7042": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4566 ], "Q": [ 4583 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7043": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4567 ], "Q": [ 4584 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7044": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4568 ], "Q": [ 4585 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7045": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4569 ], "Q": [ 4586 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7046": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4570 ], "Q": [ 4587 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7047": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4571 ], "Q": [ 4588 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7048": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 542 ], "Q": [ 315 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7049": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 592 ], "Q": [ 457 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7050": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 321 ], "Q": [ 330 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7051": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 322 ], "Q": [ 324 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7052": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 323 ], "Q": [ 325 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7053": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 320 ], "Q": [ 328 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7054": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 317 ], "Q": [ 329 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7055": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3802 ], "Q": [ 4589 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7056": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3805 ], "Q": [ 4590 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7057": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3808 ], "Q": [ 4591 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7058": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3811 ], "Q": [ 4592 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7059": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3814 ], "Q": [ 4593 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7060": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3817 ], "Q": [ 4594 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7061": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3820 ], "Q": [ 4595 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7062": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3823 ], "Q": [ 4596 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7063": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3826 ], "Q": [ 4597 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7064": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4572 ], "Q": [ 3845 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7065": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4573 ], "Q": [ 3869 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7066": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4574 ], "Q": [ 3872 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7067": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4575 ], "Q": [ 3875 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7068": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4576 ], "Q": [ 3878 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7069": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4577 ], "Q": [ 3881 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7070": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4578 ], "Q": [ 3884 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7071": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4579 ], "Q": [ 3887 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7072": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4580 ], "Q": [ 3890 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7073": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4581 ], "Q": [ 3893 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7074": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4582 ], "Q": [ 3848 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7075": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4583 ], "Q": [ 3852 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7076": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4584 ], "Q": [ 3855 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7077": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4585 ], "Q": [ 3858 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7078": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4586 ], "Q": [ 3861 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7079": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4587 ], "Q": [ 3864 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7080": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4588 ], "Q": [ 3867 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7081": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 316 ], "Q": [ 57 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7082": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3828 ], "E": [ 319 ], "Q": [ 593 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7083": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3830 ], "E": [ 319 ], "Q": [ 595 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7084": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3832 ], "E": [ 319 ], "Q": [ 597 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7085": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3834 ], "E": [ 319 ], "Q": [ 599 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7086": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3836 ], "E": [ 319 ], "Q": [ 601 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7087": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3838 ], "E": [ 319 ], "Q": [ 603 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7088": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3840 ], "E": [ 319 ], "Q": [ 605 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7089": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3842 ], "E": [ 319 ], "Q": [ 607 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7090": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3844 ], "E": [ 319 ], "Q": [ 609 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7092": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 457 ], "Q": [ 4598 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7093": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 330 ], "Q": [ 4599 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7094": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 324 ], "Q": [ 4600 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7095": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 325 ], "Q": [ 4601 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7096": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 328 ], "Q": [ 4602 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7097": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 329 ], "Q": [ 4603 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7098": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4589 ], "Q": [ 4604 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7099": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4590 ], "Q": [ 4605 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7100": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4591 ], "Q": [ 4606 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7101": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4592 ], "Q": [ 4607 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7102": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4593 ], "Q": [ 4608 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7103": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4594 ], "Q": [ 4609 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7104": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4595 ], "Q": [ 4610 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7105": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4596 ], "Q": [ 4611 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7106": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4597 ], "Q": [ 4612 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7107": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3845 ], "Q": [ 4613 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7108": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3869 ], "Q": [ 4614 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7109": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3872 ], "Q": [ 4615 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7110": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3875 ], "Q": [ 4616 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7111": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3878 ], "Q": [ 4617 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7112": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3881 ], "Q": [ 4618 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7113": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3884 ], "Q": [ 4619 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7114": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3887 ], "Q": [ 4620 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7115": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3890 ], "Q": [ 4621 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7116": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3893 ], "Q": [ 4622 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7117": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3848 ], "Q": [ 4623 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7118": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3852 ], "Q": [ 4624 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7119": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3855 ], "Q": [ 4625 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7120": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3858 ], "Q": [ 4626 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7121": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3861 ], "Q": [ 4627 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7122": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3864 ], "Q": [ 4628 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7123": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3867 ], "Q": [ 4629 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7124": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 58 ], "Q": [ 539 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7125": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3910 ], "Q": [ 171 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7126": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3912 ], "Q": [ 163 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7127": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3914 ], "Q": [ 981 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7128": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3916 ], "Q": [ 990 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7129": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3918 ], "Q": [ 999 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7130": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3920 ], "Q": [ 1008 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7131": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3922 ], "Q": [ 1017 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7132": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3924 ], "Q": [ 1026 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7133": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3926 ], "Q": [ 1035 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7134": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3897 ], "Q": [ 1044 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7135": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3899 ], "Q": [ 1053 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7136": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3901 ], "Q": [ 1062 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7137": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3903 ], "Q": [ 1071 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7138": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3905 ], "Q": [ 1080 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7139": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3907 ], "Q": [ 1089 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7140": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3909 ], "Q": [ 1098 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7141": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 459 ], "Q": [ 147 ], "R": [ 3582 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7142": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3846 ], "E": [ 327 ], "Q": [ 558 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7143": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3870 ], "E": [ 327 ], "Q": [ 560 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7144": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3873 ], "E": [ 327 ], "Q": [ 562 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7145": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3876 ], "E": [ 327 ], "Q": [ 564 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7146": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3879 ], "E": [ 327 ], "Q": [ 566 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7147": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3882 ], "E": [ 327 ], "Q": [ 568 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7148": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3885 ], "E": [ 327 ], "Q": [ 570 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7149": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3888 ], "E": [ 327 ], "Q": [ 572 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7150": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3891 ], "E": [ 327 ], "Q": [ 574 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7151": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3894 ], "E": [ 327 ], "Q": [ 576 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7152": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3850 ], "E": [ 327 ], "Q": [ 578 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7153": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3853 ], "E": [ 327 ], "Q": [ 580 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7154": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3856 ], "E": [ 327 ], "Q": [ 582 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7155": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3859 ], "E": [ 327 ], "Q": [ 584 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7156": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3862 ], "E": [ 327 ], "Q": [ 586 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7157": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3865 ], "E": [ 327 ], "Q": [ 588 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7158": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3868 ], "E": [ 327 ], "Q": [ 590 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7159": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4598 ], "Q": [ 4630 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7160": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4599 ], "Q": [ 4631 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7161": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4600 ], "Q": [ 4632 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7162": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4601 ], "Q": [ 4633 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7163": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4602 ], "Q": [ 4634 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7164": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4603 ], "Q": [ 4635 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7165": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4604 ], "Q": [ 4636 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7166": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4605 ], "Q": [ 4637 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7167": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4606 ], "Q": [ 4638 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7168": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4607 ], "Q": [ 4639 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7169": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4608 ], "Q": [ 4640 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7170": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4609 ], "Q": [ 4641 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7171": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4610 ], "Q": [ 4642 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7172": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4611 ], "Q": [ 4643 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7173": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4612 ], "Q": [ 4644 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7174": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4613 ], "Q": [ 4645 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7175": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4614 ], "Q": [ 4646 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7176": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4615 ], "Q": [ 4647 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7177": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4616 ], "Q": [ 4648 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7178": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4617 ], "Q": [ 4649 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7179": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4618 ], "Q": [ 4650 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7180": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4619 ], "Q": [ 4651 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7181": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4620 ], "Q": [ 4652 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7182": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4621 ], "Q": [ 4653 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7183": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4622 ], "Q": [ 4654 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7184": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4623 ], "Q": [ 4655 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7185": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4624 ], "Q": [ 4656 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7186": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4625 ], "Q": [ 4657 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7187": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4626 ], "Q": [ 4658 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7188": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4627 ], "Q": [ 4659 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7189": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4628 ], "Q": [ 4660 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7190": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4629 ], "Q": [ 4661 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7191": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 540 ], "Q": [ 537 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7192": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4630 ], "Q": [ 336 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7193": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4631 ], "Q": [ 347 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7194": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4632 ], "Q": [ 333 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7195": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4633 ], "Q": [ 331 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7196": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4634 ], "Q": [ 335 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7197": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4635 ], "Q": [ 337 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7198": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4636 ], "Q": [ 1879 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7199": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4637 ], "Q": [ 1875 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7200": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4638 ], "Q": [ 1872 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7201": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4639 ], "Q": [ 1871 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7202": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4640 ], "Q": [ 1922 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7203": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4641 ], "Q": [ 1921 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7204": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4642 ], "Q": [ 1881 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7205": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4643 ], "Q": [ 1882 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7206": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4644 ], "Q": [ 1880 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7207": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4645 ], "Q": [ 4662 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7208": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4646 ], "Q": [ 4663 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7209": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4647 ], "Q": [ 4664 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7210": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4648 ], "Q": [ 4665 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7211": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4649 ], "Q": [ 4666 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7212": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4650 ], "Q": [ 4667 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7213": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4651 ], "Q": [ 4668 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7214": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4652 ], "Q": [ 4669 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7215": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4653 ], "Q": [ 4670 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7216": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4654 ], "Q": [ 4671 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7217": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4655 ], "Q": [ 4672 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7218": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4656 ], "Q": [ 4673 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7219": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4657 ], "Q": [ 4674 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7220": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4658 ], "Q": [ 4675 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7221": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4659 ], "Q": [ 4676 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7222": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4660 ], "Q": [ 4677 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7223": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4661 ], "Q": [ 4678 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7224": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 538 ], "Q": [ 338 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7225": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2101 ], "Q": [ 3217 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7226": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3704 ], "Q": [ 3219 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7227": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2296 ], "Q": [ 3221 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7228": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2302 ], "Q": [ 3223 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7229": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2307 ], "Q": [ 3225 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7230": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2311 ], "Q": [ 3227 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7231": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2316 ], "Q": [ 3229 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7232": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2097 ], "Q": [ 3216 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7233": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1857 ], "Q": [ 3233 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7234": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3691 ], "Q": [ 3235 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7235": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2078 ], "Q": [ 3237 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7236": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2084 ], "Q": [ 3239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7237": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 2091 ], "Q": [ 3241 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7238": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3693 ], "Q": [ 3243 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7239": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3695 ], "Q": [ 3245 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7240": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 1855 ], "Q": [ 3232 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7241": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 336 ], "Q": [ 2320 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7242": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 347 ], "Q": [ 350 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7243": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 333 ], "Q": [ 358 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7244": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 331 ], "Q": [ 357 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7245": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 335 ], "Q": [ 356 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7246": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 337 ], "Q": [ 354 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7256": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4662 ], "Q": [ 4062 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7257": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4663 ], "Q": [ 4086 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7258": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4664 ], "Q": [ 4089 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7259": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4665 ], "Q": [ 4092 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7260": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4666 ], "Q": [ 4095 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7261": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4667 ], "Q": [ 4098 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7262": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4668 ], "Q": [ 4101 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7263": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4669 ], "Q": [ 4104 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7264": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4670 ], "Q": [ 4107 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7265": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4671 ], "Q": [ 4110 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7266": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4672 ], "Q": [ 4065 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7267": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4673 ], "Q": [ 4069 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7268": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4674 ], "Q": [ 4072 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7269": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4675 ], "Q": [ 4075 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7270": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4676 ], "Q": [ 4078 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7271": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4677 ], "Q": [ 4081 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7272": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4678 ], "Q": [ 4084 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7273": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 339 ], "Q": [ 355 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7274": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1649 ], "E": [ 342 ], "Q": [ 1670 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7275": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3682 ], "E": [ 342 ], "Q": [ 1676 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7276": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 3686 ], "E": [ 342 ], "Q": [ 1696 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7277": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1710 ], "E": [ 342 ], "Q": [ 2288 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7278": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1715 ], "E": [ 342 ], "Q": [ 2282 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7279": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1720 ], "E": [ 342 ], "Q": [ 2283 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7280": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1725 ], "E": [ 342 ], "Q": [ 2285 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7281": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1730 ], "E": [ 342 ], "Q": [ 2263 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7282": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1735 ], "E": [ 342 ], "Q": [ 2211 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7283": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1740 ], "E": [ 342 ], "Q": [ 2212 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7284": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1745 ], "E": [ 342 ], "Q": [ 2215 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7285": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1750 ], "E": [ 342 ], "Q": [ 2216 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7286": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1755 ], "E": [ 342 ], "Q": [ 2221 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7287": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1760 ], "E": [ 342 ], "Q": [ 2222 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7288": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1765 ], "E": [ 342 ], "Q": [ 2226 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7289": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1770 ], "E": [ 342 ], "Q": [ 2225 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7290": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1775 ], "E": [ 342 ], "Q": [ 2159 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7291": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1780 ], "E": [ 342 ], "Q": [ 2160 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7292": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1785 ], "E": [ 342 ], "Q": [ 2132 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7293": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1790 ], "E": [ 342 ], "Q": [ 2133 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7294": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1795 ], "E": [ 342 ], "Q": [ 2136 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7295": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1800 ], "E": [ 342 ], "Q": [ 2137 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7296": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1805 ], "E": [ 342 ], "Q": [ 2126 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7297": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1810 ], "E": [ 342 ], "Q": [ 2127 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7298": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1815 ], "E": [ 342 ], "Q": [ 2122 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7299": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1820 ], "E": [ 342 ], "Q": [ 2123 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7300": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1825 ], "E": [ 342 ], "Q": [ 2142 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7301": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1830 ], "E": [ 342 ], "Q": [ 2143 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7302": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1835 ], "E": [ 342 ], "Q": [ 2146 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7303": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1840 ], "E": [ 342 ], "Q": [ 2147 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7304": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1845 ], "E": [ 342 ], "Q": [ 2149 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7305": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1850 ], "E": [ 342 ], "Q": [ 2097 ], "R": [ 334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7306": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1438 ], "E": [ 346 ], "Q": [ 1464 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7307": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1443 ], "E": [ 346 ], "Q": [ 1470 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7308": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1479 ], "E": [ 346 ], "Q": [ 1493 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7309": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1507 ], "E": [ 346 ], "Q": [ 2067 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7310": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1511 ], "E": [ 346 ], "Q": [ 2061 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7311": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1515 ], "E": [ 346 ], "Q": [ 2062 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7312": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1519 ], "E": [ 346 ], "Q": [ 2064 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7313": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1524 ], "E": [ 346 ], "Q": [ 2008 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7314": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1529 ], "E": [ 346 ], "Q": [ 2009 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7315": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1534 ], "E": [ 346 ], "Q": [ 2012 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7316": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1539 ], "E": [ 346 ], "Q": [ 2013 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7317": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1544 ], "E": [ 346 ], "Q": [ 2003 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7318": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1549 ], "E": [ 346 ], "Q": [ 1992 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7319": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1554 ], "E": [ 346 ], "Q": [ 1993 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7320": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1559 ], "E": [ 346 ], "Q": [ 1995 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7321": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1564 ], "E": [ 346 ], "Q": [ 1883 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7322": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1569 ], "E": [ 346 ], "Q": [ 1884 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7323": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1573 ], "E": [ 346 ], "Q": [ 1887 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7324": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1578 ], "E": [ 346 ], "Q": [ 1888 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7325": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1583 ], "E": [ 346 ], "Q": [ 1893 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7326": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1588 ], "E": [ 346 ], "Q": [ 1894 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7327": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1593 ], "E": [ 346 ], "Q": [ 1897 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7328": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1598 ], "E": [ 346 ], "Q": [ 1898 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7329": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1603 ], "E": [ 346 ], "Q": [ 1905 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7330": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1608 ], "E": [ 346 ], "Q": [ 1906 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7331": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1613 ], "E": [ 346 ], "Q": [ 1909 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7332": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1618 ], "E": [ 346 ], "Q": [ 1910 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7333": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1623 ], "E": [ 346 ], "Q": [ 1915 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7334": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1628 ], "E": [ 346 ], "Q": [ 1916 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7335": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1633 ], "E": [ 346 ], "Q": [ 1919 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7336": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1638 ], "E": [ 346 ], "Q": [ 1920 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7337": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 1643 ], "E": [ 346 ], "Q": [ 1855 ], "R": [ 349 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7338": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3234 ], "Q": [ 3252 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7339": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3236 ], "Q": [ 3258 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7340": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3238 ], "Q": [ 3264 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7341": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3240 ], "Q": [ 3270 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7342": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3242 ], "Q": [ 3276 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7343": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3244 ], "Q": [ 3282 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7344": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3246 ], "Q": [ 3288 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7345": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3247 ], "Q": [ 3294 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7346": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3218 ], "Q": [ 3254 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7347": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3220 ], "Q": [ 3260 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7348": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3222 ], "Q": [ 3266 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7349": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3224 ], "Q": [ 3272 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7350": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3226 ], "Q": [ 3278 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7351": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3228 ], "Q": [ 3284 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7352": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3230 ], "Q": [ 3290 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7353": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 3231 ], "Q": [ 3296 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7402": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4112 ], "Q": [ 174 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7403": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4128 ], "Q": [ 169 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7404": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4130 ], "Q": [ 161 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7405": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4132 ], "Q": [ 979 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7406": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4134 ], "Q": [ 988 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7407": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4136 ], "Q": [ 997 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7408": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4138 ], "Q": [ 1006 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7409": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4140 ], "Q": [ 1015 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7410": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4142 ], "Q": [ 1024 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7411": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4144 ], "Q": [ 1033 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7412": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4115 ], "Q": [ 1042 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7413": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4117 ], "Q": [ 1051 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7414": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4119 ], "Q": [ 1060 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7415": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4121 ], "Q": [ 1069 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7416": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4123 ], "Q": [ 1078 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7417": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4125 ], "Q": [ 1087 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7418": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4127 ], "Q": [ 1096 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7419": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 2319 ], "Q": [ 149 ], "R": [ 553 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7420": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 2321 ], "Q": [ 150 ], "R": [ 553 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7427": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4063 ], "E": [ 353 ], "Q": [ 2322 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7428": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4087 ], "E": [ 353 ], "Q": [ 2324 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7429": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4090 ], "E": [ 353 ], "Q": [ 2326 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7430": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4093 ], "E": [ 353 ], "Q": [ 2328 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7431": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4096 ], "E": [ 353 ], "Q": [ 2330 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7432": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4099 ], "E": [ 353 ], "Q": [ 2332 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7433": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4102 ], "E": [ 353 ], "Q": [ 2334 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7434": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4105 ], "E": [ 353 ], "Q": [ 2336 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7435": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4108 ], "E": [ 353 ], "Q": [ 2338 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7436": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4111 ], "E": [ 353 ], "Q": [ 2340 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7437": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4067 ], "E": [ 353 ], "Q": [ 2342 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7438": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4070 ], "E": [ 353 ], "Q": [ 2344 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7439": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4073 ], "E": [ 353 ], "Q": [ 2346 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7440": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4076 ], "E": [ 353 ], "Q": [ 2348 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7441": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4079 ], "E": [ 353 ], "Q": [ 2350 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7442": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4082 ], "E": [ 353 ], "Q": [ 2352 ] } }, "$auto$simplemap.cc:420:simplemap_dff$7443": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 4085 ], "E": [ 353 ], "Q": [ 2354 ] } }, "$auto$simplemap.cc:420:simplemap_dff$8247": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 190 ], "Q": [ 556 ], "R": [ 363 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3705": { "hide_name": 1, "type": "SB_DFFES", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:28" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 4525 ], "D": [ "0" ], "E": [ 552 ], "Q": [ 1309 ], "S": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3706": { "hide_name": 1, "type": "SB_DFFES", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:28" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 4525 ], "D": [ "0" ], "E": [ 310 ], "Q": [ 551 ], "S": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3707": { "hide_name": 1, "type": "SB_DFFR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4679 ], "Q": [ 4531 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3708": { "hide_name": 1, "type": "SB_DFFR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4680 ], "Q": [ 4532 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3709": { "hide_name": 1, "type": "SB_DFFR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4681 ], "Q": [ 4533 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3710": { "hide_name": 1, "type": "SB_DFFR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4682 ], "Q": [ 4534 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3711": { "hide_name": 1, "type": "SB_DFFS", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:18" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 4525 ], "D": [ 3588 ], "Q": [ 310 ], "S": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3712": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1310 ], "Q": [ 1295 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3713": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1294 ], "Q": [ 1293 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3714": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4527 ], "Q": [ 1297 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3715": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4528 ], "Q": [ 1300 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3716": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4529 ], "Q": [ 1303 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3717": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 4530 ], "Q": [ 1306 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3718": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1299 ], "Q": [ 4683 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3719": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1302 ], "Q": [ 4684 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3720": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1305 ], "Q": [ 4685 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3721": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1308 ], "Q": [ 4686 ], "R": [ 3586 ] } }, "$auto$simplemap.cc:496:simplemap_adff$3724": { "hide_name": 1, "type": "SB_DFFNR", "parameters": { }, "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 4525 ], "D": [ 1296 ], "Q": [ 4687 ], "R": [ 3586 ] } }, "buffer.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 509, 485, 491, 498, 472, 1140, 497, 517, 462, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 1177, 4688, 1184, 4689, 1190, 4690, 1196, 4691, 1202, 4692, 1208, 4693, 1214, 4694, 1220, 4695 ], "RE": [ "1" ], "WADDR": [ 269, 273, 261, 281, 271, 285, 275, 283, 279, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 3214 ], "WDATA": [ 2364, "x", 2365, "x", 2366, "x", 2367, "x", 2368, "x", 2369, "x", 2370, "x", 2371, "x" ], "WE": [ "1" ] } }, "buffer.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 509, 485, 491, 498, 472, 1140, 497, 517, 462, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 1181, 4696, 1187, 4697, 1193, 4698, 1199, 4699, 1205, 4700, 1211, 4701, 1217, 4702, 1223, 4703 ], "RE": [ "1" ], "WADDR": [ 269, 273, 261, 281, 271, 285, 275, 283, 279, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 3215 ], "WDATA": [ 2372, "x", 2373, "x", 2374, "x", 2375, "x", 2376, "x", 2377, "x", 2378, "x", 2379, "x" ], "WE": [ "1" ] } }, "comp.code_mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ 24 ], "RDATA": [ 34, 4704, 38, 4705, 49, 4706, 40, 4707, 45, 4708, 47, 4709, 652, 4710, 655, 4711 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1428 ], "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ], "WE": [ "1" ] } }, "comp.code_mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ 24 ], "RDATA": [ 658, 4712, 661, 4713, 664, 4714, 667, 4715, 670, 4716, 673, 4717, 676, 4718, 679, 4719 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1428 ], "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ], "WE": [ "1" ] } }, "comp.code_mem.2.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ 24 ], "RDATA": [ 682, 4720, 685, 4721, 688, 4722, 691, 4723, 694, 4724, 697, 4725, 700, 4726, 703, 4727 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1428 ], "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ], "WE": [ "1" ] } }, "comp.code_mem.3.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ 24 ], "RDATA": [ 706, 4728, 709, 4729, 712, 4730, 715, 4731, 718, 4732, 721, 4733, 724, 4734, 727, 4735 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1428 ], "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.10.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.11.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.12.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1651, "x", 3679, "x", 3683, "x", 1712, "x", 1717, "x", 1722, "x", 1727, "x", 1732, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.13.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1737, "x", 1742, "x", 1747, "x", 1752, "x", 1757, "x", 1762, "x", 1767, "x", 1772, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.14.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1777, "x", 1782, "x", 1787, "x", 1792, "x", 1797, "x", 1802, "x", 1807, "x", 1812, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.15.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1817, "x", 1822, "x", 1827, "x", 1832, "x", 1837, "x", 1842, "x", 1847, "x", 1852, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.2.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.3.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.4.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1651, "x", 3679, "x", 3683, "x", 1712, "x", 1717, "x", 1722, "x", 1727, "x", 1732, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.5.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1737, "x", 1742, "x", 1747, "x", 1752, "x", 1757, "x", 1762, "x", 1767, "x", 1772, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.6.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1777, "x", 1782, "x", 1787, "x", 1792, "x", 1797, "x", 1802, "x", 1807, "x", 1812, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.7.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1427 ], "WDATA": [ 1817, "x", 1822, "x", 1827, "x", 1832, "x", 1837, "x", 1842, "x", 1847, "x", 1852, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.8.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ], "WE": [ "1" ] } }, "comp.coeff_mem.9.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991 ], "RE": [ "1" ], "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 1425 ], "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ], "WE": [ "1" ] } }, "comp.mul[0].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[1].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[2].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[3].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[4].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[5].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[6].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "comp.mul[7].mac16": { "hide_name": 0, "type": "SB_MAC16", "parameters": { "A_REG": 1, "A_SIGNED": 1, "BOTADDSUB_CARRYSELECT": 0, "BOTADDSUB_LOWERINPUT": 0, "BOTADDSUB_UPPERINPUT": 0, "BOTOUTPUT_SELECT": 2, "BOT_8x8_MULT_REG": 1, "B_REG": 1, "B_SIGNED": 1, "C_REG": 0, "D_REG": 0, "MODE_8x8": 1, "NEG_TRIGGER": 0, "PIPELINE_16x16_MULT_REG1": 1, "PIPELINE_16x16_MULT_REG2": 0, "TOPADDSUB_CARRYSELECT": 0, "TOPADDSUB_LOWERINPUT": 0, "TOPADDSUB_UPPERINPUT": 0, "TOPOUTPUT_SELECT": 2, "TOP_8x8_MULT_REG": 1 }, "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612" }, "port_directions": { "A": "input", "ACCUMCI": "input", "ACCUMCO": "output", "ADDSUBBOT": "input", "ADDSUBTOP": "input", "AHOLD": "input", "B": "input", "BHOLD": "input", "C": "input", "CE": "input", "CHOLD": "input", "CI": "input", "CLK": "input", "CO": "output", "D": "input", "DHOLD": "input", "IRSTBOT": "input", "IRSTTOP": "input", "O": "output", "OHOLDBOT": "input", "OHOLDTOP": "input", "OLOADBOT": "input", "OLOADTOP": "input", "ORSTBOT": "input", "ORSTTOP": "input", "SIGNEXTIN": "input", "SIGNEXTOUT": "output" }, "connections": { "A": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "ACCUMCI": [ "0" ], "ACCUMCO": [ ], "ADDSUBBOT": [ "0" ], "ADDSUBTOP": [ "0" ], "AHOLD": [ "0" ], "B": [ 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ], "BHOLD": [ "0" ], "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "CE": [ "1" ], "CHOLD": [ "0" ], "CI": [ "0" ], "CLK": [ 2 ], "CO": [ ], "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "DHOLD": [ "0" ], "IRSTBOT": [ "0" ], "IRSTTOP": [ "0" ], "O": [ 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298 ], "OHOLDBOT": [ "0" ], "OHOLDTOP": [ "0" ], "OLOADBOT": [ "0" ], "OLOADTOP": [ "0" ], "ORSTBOT": [ "0" ], "ORSTTOP": [ "0" ], "SIGNEXTIN": [ "0" ], "SIGNEXTOUT": [ ] } }, "mem.ram[0].spram": { "hide_name": 0, "type": "SB_SPRAM256KA", "parameters": { }, "attributes": { "keep": 1, "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64" }, "port_directions": { "ADDRESS": "input", "CHIPSELECT": "input", "CLOCK": "input", "DATAIN": "input", "DATAOUT": "output", "MASKWREN": "input", "POWEROFF": "input", "SLEEP": "input", "STANDBY": "input", "WREN": "input" }, "connections": { "ADDRESS": [ 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ], "CHIPSELECT": [ "1" ], "CLOCK": [ 2 ], "DATAIN": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326 ], "DATAOUT": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495 ], "MASKWREN": [ 188, 188, 187, 187 ], "POWEROFF": [ "1" ], "SLEEP": [ "0" ], "STANDBY": [ "0" ], "WREN": [ 189 ] } }, "mem.ram[1].spram": { "hide_name": 0, "type": "SB_SPRAM256KA", "parameters": { }, "attributes": { "keep": 1, "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64" }, "port_directions": { "ADDRESS": "input", "CHIPSELECT": "input", "CLOCK": "input", "DATAIN": "input", "DATAOUT": "output", "MASKWREN": "input", "POWEROFF": "input", "SLEEP": "input", "STANDBY": "input", "WREN": "input" }, "connections": { "ADDRESS": [ 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377 ], "CHIPSELECT": [ "1" ], "CLOCK": [ 2 ], "DATAIN": [ 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ], "DATAOUT": [ 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496 ], "MASKWREN": [ 185, 185, 184, 184 ], "POWEROFF": [ "1" ], "SLEEP": [ "0" ], "STANDBY": [ "0" ], "WREN": [ 186 ] } }, "mem.ram[2].spram": { "hide_name": 0, "type": "SB_SPRAM256KA", "parameters": { }, "attributes": { "keep": 1, "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64" }, "port_directions": { "ADDRESS": "input", "CHIPSELECT": "input", "CLOCK": "input", "DATAIN": "input", "DATAOUT": "output", "MASKWREN": "input", "POWEROFF": "input", "SLEEP": "input", "STANDBY": "input", "WREN": "input" }, "connections": { "ADDRESS": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ], "CHIPSELECT": [ "1" ], "CLOCK": [ 2 ], "DATAIN": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368 ], "DATAOUT": [ 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497 ], "MASKWREN": [ 181, 181, 179, 179 ], "POWEROFF": [ "1" ], "SLEEP": [ "0" ], "STANDBY": [ "0" ], "WREN": [ 182 ] } }, "mem.ram[3].spram": { "hide_name": 0, "type": "SB_SPRAM256KA", "parameters": { }, "attributes": { "keep": 1, "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64" }, "port_directions": { "ADDRESS": "input", "CHIPSELECT": "input", "CLOCK": "input", "DATAIN": "input", "DATAOUT": "output", "MASKWREN": "input", "POWEROFF": "input", "SLEEP": "input", "STANDBY": "input", "WREN": "input" }, "connections": { "ADDRESS": [ 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1336, 1338, 1340, 1342, 1344, 1346, 1348 ], "CHIPSELECT": [ "1" ], "CLOCK": [ 2 ], "DATAIN": [ 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ], "DATAOUT": [ 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ], "MASKWREN": [ 177, 177, 138, 138 ], "POWEROFF": [ "1" ], "SLEEP": [ "0" ], "STANDBY": [ "0" ], "WREN": [ 178 ] } }, "qpi_in_buf[0]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 1, "PULLUP": 1 }, "attributes": { "src": "../rtl/top.v:121" }, "port_directions": { "D_IN_0": "output", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 4525 ], "PACKAGE_PIN": [ 4 ] } }, "qpi_in_buf[1]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 1, "PULLUP": 1 }, "attributes": { "src": "../rtl/top.v:121" }, "port_directions": { "D_IN_0": "output", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 3586 ], "PACKAGE_PIN": [ 3 ] } }, "qpi_io_buf[0]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 41, "PULLUP": 0 }, "attributes": { "src": "../rtl/top.v:108" }, "port_directions": { "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 4679 ], "D_OUT_0": [ 4683 ], "OUTPUT_ENABLE": [ 4687 ], "PACKAGE_PIN": [ 5 ] } }, "qpi_io_buf[1]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 41, "PULLUP": 0 }, "attributes": { "src": "../rtl/top.v:108" }, "port_directions": { "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 4680 ], "D_OUT_0": [ 4684 ], "OUTPUT_ENABLE": [ 4687 ], "PACKAGE_PIN": [ 6 ] } }, "qpi_io_buf[2]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 41, "PULLUP": 0 }, "attributes": { "src": "../rtl/top.v:108" }, "port_directions": { "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 4681 ], "D_OUT_0": [ 4685 ], "OUTPUT_ENABLE": [ 4687 ], "PACKAGE_PIN": [ 7 ] } }, "qpi_io_buf[3]": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 41, "PULLUP": 0 }, "attributes": { "src": "../rtl/top.v:108" }, "port_directions": { "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "D_IN_0": [ 4682 ], "D_OUT_0": [ 4686 ], "OUTPUT_ENABLE": [ 4687 ], "PACKAGE_PIN": [ 8 ] } }, "seq.callstack.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 0, "WRITE_MODE": 0 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594 ], "RADDR": [ 414, 417, 436, 426, 406, 402, 428, 438, "0", "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 767, 770, 776, 779, 782, 786, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815 ], "RE": [ "1" ], "WADDR": [ 415, 416, 437, 427, 407, 403, 429, 439, "0", "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 413 ], "WDATA": [ 4265, 3589, 775, 3617, 3621, 3625, 3629, 3633, 3637, 3641, 3645, 3649, 3653, 3657, 3661, 3665 ], "WE": [ "1" ] } }, "seq.queue.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 0, "WRITE_MODE": 0 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602 ], "RADDR": [ 364, 372, 378, 365, 386, 387, 380, 359, "0", "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 1429, 1432, 2359, 555, 611, 648, 2356, 968, 1286, 1173, 1170, 1143, 1135, 1132, 1129, 1126 ], "RE": [ "1" ], "WADDR": [ 191, 373, 379, 366, 249, 388, 381, 360, "0", "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 190 ], "WDATA": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "WE": [ "1" ] } }, "seq.queue.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 0, "WRITE_MODE": 0 }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602 ], "RADDR": [ 364, 372, 378, 365, 386, 387, 380, 359, "0", "0", "0" ], "RCLK": [ 2 ], "RCLKE": [ "1" ], "RDATA": [ 971, 965, 962, 959, 956, 759, 756, 753, 750, 747, 744, 741, 738, 735, 732, 729 ], "RE": [ "1" ], "WADDR": [ 191, 373, 379, 366, 249, 388, 381, 360, "0", "0", "0" ], "WCLK": [ 2 ], "WCLKE": [ 190 ], "WDATA": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "WE": [ "1" ] } } }, "netnames": { "$0\\buffer_len[10:0]": { "hide_name": 1, "bits": [ 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 16 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\buffer_ptr[10:0]": { "hide_name": 1, "bits": [ 3673, 509, 485, 491, 498, 472, 1140, 497, 517, 462, 3678 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\dout_data[7:0]": { "hide_name": 1, "bits": [ 1230, 1246, 1252, 1258, 1264, 1270, 1276, 1282 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\qmem_addr[15:0]": { "hide_name": 1, "bits": [ 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1102, 1106, 1109, 1112, 1115, 1118, 1121, 1124 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\qmem_wdata[15:0]": { "hide_name": 1, "bits": [ 1179, 1186, 1192, 1198, 1204, 1210, 1216, 1222, 1183, 1189, 1195, 1201, 1207, 1213, 1219, 1225 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\qmem_write[1:0]": { "hide_name": 1, "bits": [ 5002, 257 ], "attributes": { "src": "../rtl/top.v:187" } }, "$0\\reset[0:0]": { "hide_name": 1, "bits": [ 536 ], "attributes": { "src": "../rtl/top.v:94" } }, "$0\\reset_cnt[3:0]": { "hide_name": 1, "bits": [ 3746, 3748, 3750, 3752 ], "attributes": { "src": "../rtl/top.v:94" } }, "$2\\mem_client_smem[0:0]": { "hide_name": 1, "bits": [ 155 ], "attributes": { "src": "../rtl/top.v:447" } }, "$abc$24763$n0": { "hide_name": 1, "bits": [ 3579 ], "attributes": { } }, "$abc$24763$n1": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, "$abc$24763$n1004": { "hide_name": 1, "bits": [ 3587 ], "attributes": { } }, "$abc$24763$n1009": { "hide_name": 1, "bits": [ 3588 ], "attributes": { } }, "$abc$24763$n1032": { "hide_name": 1, "bits": [ 538 ], "attributes": { } }, "$abc$24763$n1033": { "hide_name": 1, "bits": [ 540 ], "attributes": { } }, "$abc$24763$n1034": { "hide_name": 1, "bits": [ 542 ], "attributes": { } }, "$abc$24763$n1036": { "hide_name": 1, "bits": [ 543 ], "attributes": { } }, "$abc$24763$n1042": { "hide_name": 1, "bits": [ 545 ], "attributes": { } }, "$abc$24763$n1048": { "hide_name": 1, "bits": [ 245 ], "attributes": { } }, "$abc$24763$n1056": { "hide_name": 1, "bits": [ 547 ], "attributes": { } }, "$abc$24763$n1059": { "hide_name": 1, "bits": [ 144 ], "attributes": { } }, "$abc$24763$n1063": { "hide_name": 1, "bits": [ 550 ], "attributes": { } }, "$abc$24763$n1068": { "hide_name": 1, "bits": [ 552 ], "attributes": { } }, "$abc$24763$n1069": { "hide_name": 1, "bits": [ 553 ], "attributes": { } }, "$abc$24763$n1072": { "hide_name": 1, "bits": [ 555 ], "attributes": { } }, "$abc$24763$n1073": { "hide_name": 1, "bits": [ 554 ], "attributes": { } }, "$abc$24763$n1074": { "hide_name": 1, "bits": [ 556 ], "attributes": { } }, "$abc$24763$n1075": { "hide_name": 1, "bits": [ 557 ], "attributes": { } }, "$abc$24763$n1077": { "hide_name": 1, "bits": [ 559 ], "attributes": { } }, "$abc$24763$n1079": { "hide_name": 1, "bits": [ 561 ], "attributes": { } }, "$abc$24763$n1081": { "hide_name": 1, "bits": [ 563 ], "attributes": { } }, "$abc$24763$n1083": { "hide_name": 1, "bits": [ 565 ], "attributes": { } }, "$abc$24763$n1085": { "hide_name": 1, "bits": [ 567 ], "attributes": { } }, "$abc$24763$n1087": { "hide_name": 1, "bits": [ 569 ], "attributes": { } }, "$abc$24763$n1089": { "hide_name": 1, "bits": [ 571 ], "attributes": { } }, "$abc$24763$n1091": { "hide_name": 1, "bits": [ 573 ], "attributes": { } }, "$abc$24763$n1093": { "hide_name": 1, "bits": [ 575 ], "attributes": { } }, "$abc$24763$n1095": { "hide_name": 1, "bits": [ 577 ], "attributes": { } }, "$abc$24763$n1097": { "hide_name": 1, "bits": [ 579 ], "attributes": { } }, "$abc$24763$n1099": { "hide_name": 1, "bits": [ 581 ], "attributes": { } }, "$abc$24763$n1101": { "hide_name": 1, "bits": [ 583 ], "attributes": { } }, "$abc$24763$n1103": { "hide_name": 1, "bits": [ 585 ], "attributes": { } }, "$abc$24763$n1105": { "hide_name": 1, "bits": [ 587 ], "attributes": { } }, "$abc$24763$n1107": { "hide_name": 1, "bits": [ 589 ], "attributes": { } }, "$abc$24763$n1109": { "hide_name": 1, "bits": [ 591 ], "attributes": { } }, "$abc$24763$n1111": { "hide_name": 1, "bits": [ 594 ], "attributes": { } }, "$abc$24763$n1113": { "hide_name": 1, "bits": [ 596 ], "attributes": { } }, "$abc$24763$n1115": { "hide_name": 1, "bits": [ 598 ], "attributes": { } }, "$abc$24763$n1117": { "hide_name": 1, "bits": [ 600 ], "attributes": { } }, "$abc$24763$n1119": { "hide_name": 1, "bits": [ 602 ], "attributes": { } }, "$abc$24763$n1121": { "hide_name": 1, "bits": [ 604 ], "attributes": { } }, "$abc$24763$n1123": { "hide_name": 1, "bits": [ 606 ], "attributes": { } }, "$abc$24763$n1125": { "hide_name": 1, "bits": [ 608 ], "attributes": { } }, "$abc$24763$n1127": { "hide_name": 1, "bits": [ 610 ], "attributes": { } }, "$abc$24763$n1128": { "hide_name": 1, "bits": [ 611 ], "attributes": { } }, "$abc$24763$n1129": { "hide_name": 1, "bits": [ 612 ], "attributes": { } }, "$abc$24763$n1130": { "hide_name": 1, "bits": [ 613 ], "attributes": { } }, "$abc$24763$n1132": { "hide_name": 1, "bits": [ 615 ], "attributes": { } }, "$abc$24763$n1134": { "hide_name": 1, "bits": [ 617 ], "attributes": { } }, "$abc$24763$n1135": { "hide_name": 1, "bits": [ 618 ], "attributes": { } }, "$abc$24763$n1136": { "hide_name": 1, "bits": [ 619 ], "attributes": { } }, "$abc$24763$n1137": { "hide_name": 1, "bits": [ 620 ], "attributes": { } }, "$abc$24763$n1138": { "hide_name": 1, "bits": [ 621 ], "attributes": { } }, "$abc$24763$n1139": { "hide_name": 1, "bits": [ 622 ], "attributes": { } }, "$abc$24763$n1140": { "hide_name": 1, "bits": [ 623 ], "attributes": { } }, "$abc$24763$n1141": { "hide_name": 1, "bits": [ 624 ], "attributes": { } }, "$abc$24763$n1142": { "hide_name": 1, "bits": [ 625 ], "attributes": { } }, "$abc$24763$n1143": { "hide_name": 1, "bits": [ 626 ], "attributes": { } }, "$abc$24763$n1144": { "hide_name": 1, "bits": [ 627 ], "attributes": { } }, "$abc$24763$n1145": { "hide_name": 1, "bits": [ 628 ], "attributes": { } }, "$abc$24763$n1146": { "hide_name": 1, "bits": [ 629 ], "attributes": { } }, "$abc$24763$n1147": { "hide_name": 1, "bits": [ 630 ], "attributes": { } }, "$abc$24763$n1148": { "hide_name": 1, "bits": [ 631 ], "attributes": { } }, "$abc$24763$n1149": { "hide_name": 1, "bits": [ 632 ], "attributes": { } }, "$abc$24763$n1150": { "hide_name": 1, "bits": [ 633 ], "attributes": { } }, "$abc$24763$n1151": { "hide_name": 1, "bits": [ 634 ], "attributes": { } }, "$abc$24763$n1152": { "hide_name": 1, "bits": [ 635 ], "attributes": { } }, "$abc$24763$n1153": { "hide_name": 1, "bits": [ 636 ], "attributes": { } }, "$abc$24763$n1154": { "hide_name": 1, "bits": [ 637 ], "attributes": { } }, "$abc$24763$n1155": { "hide_name": 1, "bits": [ 638 ], "attributes": { } }, "$abc$24763$n1156": { "hide_name": 1, "bits": [ 639 ], "attributes": { } }, "$abc$24763$n1157": { "hide_name": 1, "bits": [ 640 ], "attributes": { } }, "$abc$24763$n1158": { "hide_name": 1, "bits": [ 641 ], "attributes": { } }, "$abc$24763$n1159": { "hide_name": 1, "bits": [ 642 ], "attributes": { } }, "$abc$24763$n1160": { "hide_name": 1, "bits": [ 643 ], "attributes": { } }, "$abc$24763$n1161": { "hide_name": 1, "bits": [ 644 ], "attributes": { } }, "$abc$24763$n1162": { "hide_name": 1, "bits": [ 645 ], "attributes": { } }, "$abc$24763$n1163": { "hide_name": 1, "bits": [ 646 ], "attributes": { } }, "$abc$24763$n1164": { "hide_name": 1, "bits": [ 647 ], "attributes": { } }, "$abc$24763$n1165": { "hide_name": 1, "bits": [ 648 ], "attributes": { } }, "$abc$24763$n1166": { "hide_name": 1, "bits": [ 649 ], "attributes": { } }, "$abc$24763$n1167": { "hide_name": 1, "bits": [ 650 ], "attributes": { } }, "$abc$24763$n1259": { "hide_name": 1, "bits": [ 729 ], "attributes": { } }, "$abc$24763$n1260": { "hide_name": 1, "bits": [ 730 ], "attributes": { } }, "$abc$24763$n1261": { "hide_name": 1, "bits": [ 731 ], "attributes": { } }, "$abc$24763$n1262": { "hide_name": 1, "bits": [ 732 ], "attributes": { } }, "$abc$24763$n1263": { "hide_name": 1, "bits": [ 733 ], "attributes": { } }, "$abc$24763$n1264": { "hide_name": 1, "bits": [ 734 ], "attributes": { } }, "$abc$24763$n1265": { "hide_name": 1, "bits": [ 735 ], "attributes": { } }, "$abc$24763$n1266": { "hide_name": 1, "bits": [ 736 ], "attributes": { } }, "$abc$24763$n1267": { "hide_name": 1, "bits": [ 737 ], "attributes": { } }, "$abc$24763$n1268": { "hide_name": 1, "bits": [ 738 ], "attributes": { } }, "$abc$24763$n1269": { "hide_name": 1, "bits": [ 739 ], "attributes": { } }, "$abc$24763$n1270": { "hide_name": 1, "bits": [ 740 ], "attributes": { } }, "$abc$24763$n1271": { "hide_name": 1, "bits": [ 741 ], "attributes": { } }, "$abc$24763$n1272": { "hide_name": 1, "bits": [ 742 ], "attributes": { } }, "$abc$24763$n1273": { "hide_name": 1, "bits": [ 743 ], "attributes": { } }, "$abc$24763$n1274": { "hide_name": 1, "bits": [ 744 ], "attributes": { } }, "$abc$24763$n1275": { "hide_name": 1, "bits": [ 745 ], "attributes": { } }, "$abc$24763$n1276": { "hide_name": 1, "bits": [ 746 ], "attributes": { } }, "$abc$24763$n1277": { "hide_name": 1, "bits": [ 747 ], "attributes": { } }, "$abc$24763$n1278": { "hide_name": 1, "bits": [ 748 ], "attributes": { } }, "$abc$24763$n1279": { "hide_name": 1, "bits": [ 749 ], "attributes": { } }, "$abc$24763$n1280": { "hide_name": 1, "bits": [ 750 ], "attributes": { } }, "$abc$24763$n1281": { "hide_name": 1, "bits": [ 751 ], "attributes": { } }, "$abc$24763$n1282": { "hide_name": 1, "bits": [ 752 ], "attributes": { } }, "$abc$24763$n1283": { "hide_name": 1, "bits": [ 753 ], "attributes": { } }, "$abc$24763$n1284": { "hide_name": 1, "bits": [ 754 ], "attributes": { } }, "$abc$24763$n1285": { "hide_name": 1, "bits": [ 755 ], "attributes": { } }, "$abc$24763$n1286": { "hide_name": 1, "bits": [ 756 ], "attributes": { } }, "$abc$24763$n1287": { "hide_name": 1, "bits": [ 757 ], "attributes": { } }, "$abc$24763$n1288": { "hide_name": 1, "bits": [ 758 ], "attributes": { } }, "$abc$24763$n1289": { "hide_name": 1, "bits": [ 759 ], "attributes": { } }, "$abc$24763$n1290": { "hide_name": 1, "bits": [ 760 ], "attributes": { } }, "$abc$24763$n1291": { "hide_name": 1, "bits": [ 761 ], "attributes": { } }, "$abc$24763$n1294": { "hide_name": 1, "bits": [ 764 ], "attributes": { } }, "$abc$24763$n1297": { "hide_name": 1, "bits": [ 3613 ], "attributes": { } }, "$abc$24763$n1300": { "hide_name": 1, "bits": [ 3616 ], "attributes": { } }, "$abc$24763$n1303": { "hide_name": 1, "bits": [ 3620 ], "attributes": { } }, "$abc$24763$n1306": { "hide_name": 1, "bits": [ 3624 ], "attributes": { } }, "$abc$24763$n1309": { "hide_name": 1, "bits": [ 3628 ], "attributes": { } }, "$abc$24763$n131": { "hide_name": 1, "bits": [ 3583 ], "attributes": { } }, "$abc$24763$n1312": { "hide_name": 1, "bits": [ 3632 ], "attributes": { } }, "$abc$24763$n1315": { "hide_name": 1, "bits": [ 3636 ], "attributes": { } }, "$abc$24763$n1318": { "hide_name": 1, "bits": [ 3640 ], "attributes": { } }, "$abc$24763$n1321": { "hide_name": 1, "bits": [ 3644 ], "attributes": { } }, "$abc$24763$n1324": { "hide_name": 1, "bits": [ 3648 ], "attributes": { } }, "$abc$24763$n1327": { "hide_name": 1, "bits": [ 3652 ], "attributes": { } }, "$abc$24763$n1330": { "hide_name": 1, "bits": [ 3656 ], "attributes": { } }, "$abc$24763$n1333": { "hide_name": 1, "bits": [ 3660 ], "attributes": { } }, "$abc$24763$n1336": { "hide_name": 1, "bits": [ 3664 ], "attributes": { } }, "$abc$24763$n1339": { "hide_name": 1, "bits": [ 3668 ], "attributes": { } }, "$abc$24763$n136": { "hide_name": 1, "bits": [ 90 ], "attributes": { } }, "$abc$24763$n1373": { "hide_name": 1, "bits": [ 3589 ], "attributes": { } }, "$abc$24763$n1375": { "hide_name": 1, "bits": [ 775 ], "attributes": { } }, "$abc$24763$n1377": { "hide_name": 1, "bits": [ 3617 ], "attributes": { } }, "$abc$24763$n1379": { "hide_name": 1, "bits": [ 3621 ], "attributes": { } }, "$abc$24763$n1381": { "hide_name": 1, "bits": [ 3625 ], "attributes": { } }, "$abc$24763$n1383": { "hide_name": 1, "bits": [ 3629 ], "attributes": { } }, "$abc$24763$n1385": { "hide_name": 1, "bits": [ 3633 ], "attributes": { } }, "$abc$24763$n1387": { "hide_name": 1, "bits": [ 3637 ], "attributes": { } }, "$abc$24763$n1389": { "hide_name": 1, "bits": [ 3641 ], "attributes": { } }, "$abc$24763$n1391": { "hide_name": 1, "bits": [ 3645 ], "attributes": { } }, "$abc$24763$n1393": { "hide_name": 1, "bits": [ 3649 ], "attributes": { } }, "$abc$24763$n1395": { "hide_name": 1, "bits": [ 3653 ], "attributes": { } }, "$abc$24763$n1397": { "hide_name": 1, "bits": [ 3657 ], "attributes": { } }, "$abc$24763$n1399": { "hide_name": 1, "bits": [ 3661 ], "attributes": { } }, "$abc$24763$n1401": { "hide_name": 1, "bits": [ 3665 ], "attributes": { } }, "$abc$24763$n1402": { "hide_name": 1, "bits": [ 418 ], "attributes": { } }, "$abc$24763$n1404": { "hide_name": 1, "bits": [ 414 ], "attributes": { } }, "$abc$24763$n1405": { "hide_name": 1, "bits": [ 421 ], "attributes": { } }, "$abc$24763$n1406": { "hide_name": 1, "bits": [ 417 ], "attributes": { } }, "$abc$24763$n1407": { "hide_name": 1, "bits": [ 440 ], "attributes": { } }, "$abc$24763$n1408": { "hide_name": 1, "bits": [ 436 ], "attributes": { } }, "$abc$24763$n1409": { "hide_name": 1, "bits": [ 430 ], "attributes": { } }, "$abc$24763$n1410": { "hide_name": 1, "bits": [ 426 ], "attributes": { } }, "$abc$24763$n1411": { "hide_name": 1, "bits": [ 408 ], "attributes": { } }, "$abc$24763$n1412": { "hide_name": 1, "bits": [ 406 ], "attributes": { } }, "$abc$24763$n1413": { "hide_name": 1, "bits": [ 423 ], "attributes": { } }, "$abc$24763$n1414": { "hide_name": 1, "bits": [ 402 ], "attributes": { } }, "$abc$24763$n1415": { "hide_name": 1, "bits": [ 433 ], "attributes": { } }, "$abc$24763$n1416": { "hide_name": 1, "bits": [ 428 ], "attributes": { } }, "$abc$24763$n1417": { "hide_name": 1, "bits": [ 443 ], "attributes": { } }, "$abc$24763$n1418": { "hide_name": 1, "bits": [ 438 ], "attributes": { } }, "$abc$24763$n1427": { "hide_name": 1, "bits": [ 415 ], "attributes": { } }, "$abc$24763$n1429": { "hide_name": 1, "bits": [ 416 ], "attributes": { } }, "$abc$24763$n1431": { "hide_name": 1, "bits": [ 437 ], "attributes": { } }, "$abc$24763$n1433": { "hide_name": 1, "bits": [ 427 ], "attributes": { } }, "$abc$24763$n1435": { "hide_name": 1, "bits": [ 407 ], "attributes": { } }, "$abc$24763$n1437": { "hide_name": 1, "bits": [ 403 ], "attributes": { } }, "$abc$24763$n1439": { "hide_name": 1, "bits": [ 429 ], "attributes": { } }, "$abc$24763$n1441": { "hide_name": 1, "bits": [ 439 ], "attributes": { } }, "$abc$24763$n1451": { "hide_name": 1, "bits": [ 420 ], "attributes": { } }, "$abc$24763$n1453": { "hide_name": 1, "bits": [ 442 ], "attributes": { } }, "$abc$24763$n1454": { "hide_name": 1, "bits": [ 432 ], "attributes": { } }, "$abc$24763$n1455": { "hide_name": 1, "bits": [ 412 ], "attributes": { } }, "$abc$24763$n1456": { "hide_name": 1, "bits": [ 424 ], "attributes": { } }, "$abc$24763$n1457": { "hide_name": 1, "bits": [ 435 ], "attributes": { } }, "$abc$24763$n1458": { "hide_name": 1, "bits": [ 444 ], "attributes": { } }, "$abc$24763$n1459": { "hide_name": 1, "bits": [ 786 ], "attributes": { } }, "$abc$24763$n1460": { "hide_name": 1, "bits": [ 785 ], "attributes": { } }, "$abc$24763$n1461": { "hide_name": 1, "bits": [ 769 ], "attributes": { } }, "$abc$24763$n1463": { "hide_name": 1, "bits": [ 190 ], "attributes": { } }, "$abc$24763$n1464": { "hide_name": 1, "bits": [ 413 ], "attributes": { } }, "$abc$24763$n1513": { "hide_name": 1, "bits": [ 821 ], "attributes": { } }, "$abc$24763$n1515": { "hide_name": 1, "bits": [ 830 ], "attributes": { } }, "$abc$24763$n1516": { "hide_name": 1, "bits": [ 835 ], "attributes": { } }, "$abc$24763$n1517": { "hide_name": 1, "bits": [ 840 ], "attributes": { } }, "$abc$24763$n1518": { "hide_name": 1, "bits": [ 845 ], "attributes": { } }, "$abc$24763$n1519": { "hide_name": 1, "bits": [ 850 ], "attributes": { } }, "$abc$24763$n1520": { "hide_name": 1, "bits": [ 855 ], "attributes": { } }, "$abc$24763$n1521": { "hide_name": 1, "bits": [ 860 ], "attributes": { } }, "$abc$24763$n1522": { "hide_name": 1, "bits": [ 862 ], "attributes": { } }, "$abc$24763$n1524": { "hide_name": 1, "bits": [ 865 ], "attributes": { } }, "$abc$24763$n1525": { "hide_name": 1, "bits": [ 867 ], "attributes": { } }, "$abc$24763$n1526": { "hide_name": 1, "bits": [ 869 ], "attributes": { } }, "$abc$24763$n1527": { "hide_name": 1, "bits": [ 871 ], "attributes": { } }, "$abc$24763$n1528": { "hide_name": 1, "bits": [ 873 ], "attributes": { } }, "$abc$24763$n1529": { "hide_name": 1, "bits": [ 875 ], "attributes": { } }, "$abc$24763$n1530": { "hide_name": 1, "bits": [ 877 ], "attributes": { } }, "$abc$24763$n1531": { "hide_name": 1, "bits": [ 879 ], "attributes": { } }, "$abc$24763$n1532": { "hide_name": 1, "bits": [ 367 ], "attributes": { } }, "$abc$24763$n1533": { "hide_name": 1, "bits": [ 364 ], "attributes": { } }, "$abc$24763$n1534": { "hide_name": 1, "bits": [ 376 ], "attributes": { } }, "$abc$24763$n1535": { "hide_name": 1, "bits": [ 372 ], "attributes": { } }, "$abc$24763$n1536": { "hide_name": 1, "bits": [ 881 ], "attributes": { } }, "$abc$24763$n1537": { "hide_name": 1, "bits": [ 378 ], "attributes": { } }, "$abc$24763$n1538": { "hide_name": 1, "bits": [ 369 ], "attributes": { } }, "$abc$24763$n1539": { "hide_name": 1, "bits": [ 365 ], "attributes": { } }, "$abc$24763$n1540": { "hide_name": 1, "bits": [ 882 ], "attributes": { } }, "$abc$24763$n1541": { "hide_name": 1, "bits": [ 386 ], "attributes": { } }, "$abc$24763$n1542": { "hide_name": 1, "bits": [ 883 ], "attributes": { } }, "$abc$24763$n1543": { "hide_name": 1, "bits": [ 387 ], "attributes": { } }, "$abc$24763$n1544": { "hide_name": 1, "bits": [ 884 ], "attributes": { } }, "$abc$24763$n1545": { "hide_name": 1, "bits": [ 380 ], "attributes": { } }, "$abc$24763$n1546": { "hide_name": 1, "bits": [ 392 ], "attributes": { } }, "$abc$24763$n1547": { "hide_name": 1, "bits": [ 359 ], "attributes": { } }, "$abc$24763$n1564": { "hide_name": 1, "bits": [ 368 ], "attributes": { } }, "$abc$24763$n1566": { "hide_name": 1, "bits": [ 383 ], "attributes": { } }, "$abc$24763$n1567": { "hide_name": 1, "bits": [ 371 ], "attributes": { } }, "$abc$24763$n1568": { "hide_name": 1, "bits": [ 389 ], "attributes": { } }, "$abc$24763$n1569": { "hide_name": 1, "bits": [ 391 ], "attributes": { } }, "$abc$24763$n1570": { "hide_name": 1, "bits": [ 385 ], "attributes": { } }, "$abc$24763$n1571": { "hide_name": 1, "bits": [ 394 ], "attributes": { } }, "$abc$24763$n1572": { "hide_name": 1, "bits": [ 885 ], "attributes": { } }, "$abc$24763$n1573": { "hide_name": 1, "bits": [ 886 ], "attributes": { } }, "$abc$24763$n1575": { "hide_name": 1, "bits": [ 888 ], "attributes": { } }, "$abc$24763$n1576": { "hide_name": 1, "bits": [ 889 ], "attributes": { } }, "$abc$24763$n1577": { "hide_name": 1, "bits": [ 890 ], "attributes": { } }, "$abc$24763$n1578": { "hide_name": 1, "bits": [ 891 ], "attributes": { } }, "$abc$24763$n1579": { "hide_name": 1, "bits": [ 892 ], "attributes": { } }, "$abc$24763$n1580": { "hide_name": 1, "bits": [ 893 ], "attributes": { } }, "$abc$24763$n1581": { "hide_name": 1, "bits": [ 894 ], "attributes": { } }, "$abc$24763$n1582": { "hide_name": 1, "bits": [ 895 ], "attributes": { } }, "$abc$24763$n1583": { "hide_name": 1, "bits": [ 896 ], "attributes": { } }, "$abc$24763$n1584": { "hide_name": 1, "bits": [ 897 ], "attributes": { } }, "$abc$24763$n1585": { "hide_name": 1, "bits": [ 898 ], "attributes": { } }, "$abc$24763$n1586": { "hide_name": 1, "bits": [ 899 ], "attributes": { } }, "$abc$24763$n1587": { "hide_name": 1, "bits": [ 900 ], "attributes": { } }, "$abc$24763$n1588": { "hide_name": 1, "bits": [ 901 ], "attributes": { } }, "$abc$24763$n1589": { "hide_name": 1, "bits": [ 902 ], "attributes": { } }, "$abc$24763$n1590": { "hide_name": 1, "bits": [ 903 ], "attributes": { } }, "$abc$24763$n1591": { "hide_name": 1, "bits": [ 904 ], "attributes": { } }, "$abc$24763$n1593": { "hide_name": 1, "bits": [ 906 ], "attributes": { } }, "$abc$24763$n1594": { "hide_name": 1, "bits": [ 907 ], "attributes": { } }, "$abc$24763$n1595": { "hide_name": 1, "bits": [ 908 ], "attributes": { } }, "$abc$24763$n1596": { "hide_name": 1, "bits": [ 909 ], "attributes": { } }, "$abc$24763$n1597": { "hide_name": 1, "bits": [ 910 ], "attributes": { } }, "$abc$24763$n1598": { "hide_name": 1, "bits": [ 911 ], "attributes": { } }, "$abc$24763$n1599": { "hide_name": 1, "bits": [ 912 ], "attributes": { } }, "$abc$24763$n16": { "hide_name": 1, "bits": [ 62 ], "attributes": { } }, "$abc$24763$n1600": { "hide_name": 1, "bits": [ 913 ], "attributes": { } }, "$abc$24763$n1601": { "hide_name": 1, "bits": [ 914 ], "attributes": { } }, "$abc$24763$n1602": { "hide_name": 1, "bits": [ 915 ], "attributes": { } }, "$abc$24763$n1603": { "hide_name": 1, "bits": [ 916 ], "attributes": { } }, "$abc$24763$n1604": { "hide_name": 1, "bits": [ 917 ], "attributes": { } }, "$abc$24763$n1605": { "hide_name": 1, "bits": [ 918 ], "attributes": { } }, "$abc$24763$n1606": { "hide_name": 1, "bits": [ 919 ], "attributes": { } }, "$abc$24763$n1607": { "hide_name": 1, "bits": [ 920 ], "attributes": { } }, "$abc$24763$n1608": { "hide_name": 1, "bits": [ 924 ], "attributes": { } }, "$abc$24763$n1610": { "hide_name": 1, "bits": [ 925 ], "attributes": { } }, "$abc$24763$n1611": { "hide_name": 1, "bits": [ 929 ], "attributes": { } }, "$abc$24763$n1613": { "hide_name": 1, "bits": [ 930 ], "attributes": { } }, "$abc$24763$n1614": { "hide_name": 1, "bits": [ 934 ], "attributes": { } }, "$abc$24763$n1616": { "hide_name": 1, "bits": [ 935 ], "attributes": { } }, "$abc$24763$n1617": { "hide_name": 1, "bits": [ 939 ], "attributes": { } }, "$abc$24763$n1619": { "hide_name": 1, "bits": [ 940 ], "attributes": { } }, "$abc$24763$n1620": { "hide_name": 1, "bits": [ 944 ], "attributes": { } }, "$abc$24763$n1622": { "hide_name": 1, "bits": [ 945 ], "attributes": { } }, "$abc$24763$n1623": { "hide_name": 1, "bits": [ 949 ], "attributes": { } }, "$abc$24763$n1625": { "hide_name": 1, "bits": [ 950 ], "attributes": { } }, "$abc$24763$n1626": { "hide_name": 1, "bits": [ 954 ], "attributes": { } }, "$abc$24763$n1628": { "hide_name": 1, "bits": [ 955 ], "attributes": { } }, "$abc$24763$n1629": { "hide_name": 1, "bits": [ 782 ], "attributes": { } }, "$abc$24763$n1630": { "hide_name": 1, "bits": [ 783 ], "attributes": { } }, "$abc$24763$n1631": { "hide_name": 1, "bits": [ 84 ], "attributes": { } }, "$abc$24763$n1698": { "hide_name": 1, "bits": [ 956 ], "attributes": { } }, "$abc$24763$n1699": { "hide_name": 1, "bits": [ 957 ], "attributes": { } }, "$abc$24763$n1700": { "hide_name": 1, "bits": [ 958 ], "attributes": { } }, "$abc$24763$n1701": { "hide_name": 1, "bits": [ 959 ], "attributes": { } }, "$abc$24763$n1702": { "hide_name": 1, "bits": [ 960 ], "attributes": { } }, "$abc$24763$n1703": { "hide_name": 1, "bits": [ 961 ], "attributes": { } }, "$abc$24763$n1704": { "hide_name": 1, "bits": [ 962 ], "attributes": { } }, "$abc$24763$n1705": { "hide_name": 1, "bits": [ 963 ], "attributes": { } }, "$abc$24763$n1706": { "hide_name": 1, "bits": [ 964 ], "attributes": { } }, "$abc$24763$n1707": { "hide_name": 1, "bits": [ 965 ], "attributes": { } }, "$abc$24763$n1708": { "hide_name": 1, "bits": [ 966 ], "attributes": { } }, "$abc$24763$n1709": { "hide_name": 1, "bits": [ 967 ], "attributes": { } }, "$abc$24763$n1713": { "hide_name": 1, "bits": [ 797 ], "attributes": { } }, "$abc$24763$n1714": { "hide_name": 1, "bits": [ 798 ], "attributes": { } }, "$abc$24763$n1715": { "hide_name": 1, "bits": [ 803 ], "attributes": { } }, "$abc$24763$n1716": { "hide_name": 1, "bits": [ 804 ], "attributes": { } }, "$abc$24763$n1717": { "hide_name": 1, "bits": [ 806 ], "attributes": { } }, "$abc$24763$n1718": { "hide_name": 1, "bits": [ 807 ], "attributes": { } }, "$abc$24763$n1719": { "hide_name": 1, "bits": [ 809 ], "attributes": { } }, "$abc$24763$n1720": { "hide_name": 1, "bits": [ 810 ], "attributes": { } }, "$abc$24763$n1721": { "hide_name": 1, "bits": [ 968 ], "attributes": { } }, "$abc$24763$n1722": { "hide_name": 1, "bits": [ 969 ], "attributes": { } }, "$abc$24763$n1723": { "hide_name": 1, "bits": [ 970 ], "attributes": { } }, "$abc$24763$n1724": { "hide_name": 1, "bits": [ 779 ], "attributes": { } }, "$abc$24763$n1725": { "hide_name": 1, "bits": [ 780 ], "attributes": { } }, "$abc$24763$n1726": { "hide_name": 1, "bits": [ 971 ], "attributes": { } }, "$abc$24763$n1727": { "hide_name": 1, "bits": [ 972 ], "attributes": { } }, "$abc$24763$n1728": { "hide_name": 1, "bits": [ 973 ], "attributes": { } }, "$abc$24763$n175": { "hide_name": 1, "bits": [ 134 ], "attributes": { } }, "$abc$24763$n1948": { "hide_name": 1, "bits": [ 1101 ], "attributes": { } }, "$abc$24763$n1950": { "hide_name": 1, "bits": [ 1105 ], "attributes": { } }, "$abc$24763$n1952": { "hide_name": 1, "bits": [ 1108 ], "attributes": { } }, "$abc$24763$n1954": { "hide_name": 1, "bits": [ 1111 ], "attributes": { } }, "$abc$24763$n1956": { "hide_name": 1, "bits": [ 1114 ], "attributes": { } }, "$abc$24763$n1958": { "hide_name": 1, "bits": [ 1117 ], "attributes": { } }, "$abc$24763$n1960": { "hide_name": 1, "bits": [ 1120 ], "attributes": { } }, "$abc$24763$n1962": { "hide_name": 1, "bits": [ 1123 ], "attributes": { } }, "$abc$24763$n1996": { "hide_name": 1, "bits": [ 794 ], "attributes": { } }, "$abc$24763$n1997": { "hide_name": 1, "bits": [ 795 ], "attributes": { } }, "$abc$24763$n1998": { "hide_name": 1, "bits": [ 1125 ], "attributes": { } }, "$abc$24763$n2008": { "hide_name": 1, "bits": [ 815 ], "attributes": { } }, "$abc$24763$n2009": { "hide_name": 1, "bits": [ 816 ], "attributes": { } }, "$abc$24763$n2010": { "hide_name": 1, "bits": [ 812 ], "attributes": { } }, "$abc$24763$n2011": { "hide_name": 1, "bits": [ 813 ], "attributes": { } }, "$abc$24763$n2014": { "hide_name": 1, "bits": [ 1126 ], "attributes": { } }, "$abc$24763$n2015": { "hide_name": 1, "bits": [ 1127 ], "attributes": { } }, "$abc$24763$n2016": { "hide_name": 1, "bits": [ 1128 ], "attributes": { } }, "$abc$24763$n2017": { "hide_name": 1, "bits": [ 791 ], "attributes": { } }, "$abc$24763$n2018": { "hide_name": 1, "bits": [ 792 ], "attributes": { } }, "$abc$24763$n2019": { "hide_name": 1, "bits": [ 1129 ], "attributes": { } }, "$abc$24763$n2020": { "hide_name": 1, "bits": [ 1130 ], "attributes": { } }, "$abc$24763$n2021": { "hide_name": 1, "bits": [ 1131 ], "attributes": { } }, "$abc$24763$n2022": { "hide_name": 1, "bits": [ 1138 ], "attributes": { } }, "$abc$24763$n2028": { "hide_name": 1, "bits": [ 488 ], "attributes": { } }, "$abc$24763$n2031": { "hide_name": 1, "bits": [ 494 ], "attributes": { } }, "$abc$24763$n2034": { "hide_name": 1, "bits": [ 506 ], "attributes": { } }, "$abc$24763$n2037": { "hide_name": 1, "bits": [ 477 ], "attributes": { } }, "$abc$24763$n2040": { "hide_name": 1, "bits": [ 528 ], "attributes": { } }, "$abc$24763$n2043": { "hide_name": 1, "bits": [ 501 ], "attributes": { } }, "$abc$24763$n2046": { "hide_name": 1, "bits": [ 520 ], "attributes": { } }, "$abc$24763$n2049": { "hide_name": 1, "bits": [ 529 ], "attributes": { } }, "$abc$24763$n2052": { "hide_name": 1, "bits": [ 1141 ], "attributes": { } }, "$abc$24763$n2054": { "hide_name": 1, "bits": [ 1132 ], "attributes": { } }, "$abc$24763$n2055": { "hide_name": 1, "bits": [ 1133 ], "attributes": { } }, "$abc$24763$n2056": { "hide_name": 1, "bits": [ 1134 ], "attributes": { } }, "$abc$24763$n2068": { "hide_name": 1, "bits": [ 1135 ], "attributes": { } }, "$abc$24763$n2069": { "hide_name": 1, "bits": [ 1136 ], "attributes": { } }, "$abc$24763$n2070": { "hide_name": 1, "bits": [ 1137 ], "attributes": { } }, "$abc$24763$n209": { "hide_name": 1, "bits": [ 178 ], "attributes": { } }, "$abc$24763$n2093": { "hide_name": 1, "bits": [ 3669 ], "attributes": { } }, "$abc$24763$n2096": { "hide_name": 1, "bits": [ 516 ], "attributes": { } }, "$abc$24763$n2098": { "hide_name": 1, "bits": [ 489 ], "attributes": { } }, "$abc$24763$n2100": { "hide_name": 1, "bits": [ 495 ], "attributes": { } }, "$abc$24763$n2102": { "hide_name": 1, "bits": [ 507 ], "attributes": { } }, "$abc$24763$n2104": { "hide_name": 1, "bits": [ 483 ], "attributes": { } }, "$abc$24763$n2106": { "hide_name": 1, "bits": [ 527 ], "attributes": { } }, "$abc$24763$n2108": { "hide_name": 1, "bits": [ 502 ], "attributes": { } }, "$abc$24763$n2110": { "hide_name": 1, "bits": [ 521 ], "attributes": { } }, "$abc$24763$n2112": { "hide_name": 1, "bits": [ 3607 ], "attributes": { } }, "$abc$24763$n2114": { "hide_name": 1, "bits": [ 3674 ], "attributes": { } }, "$abc$24763$n2116": { "hide_name": 1, "bits": [ 3672 ], "attributes": { } }, "$abc$24763$n212": { "hide_name": 1, "bits": [ 182 ], "attributes": { } }, "$abc$24763$n2122": { "hide_name": 1, "bits": [ 496 ], "attributes": { } }, "$abc$24763$n2124": { "hide_name": 1, "bits": [ 508 ], "attributes": { } }, "$abc$24763$n2126": { "hide_name": 1, "bits": [ 484 ], "attributes": { } }, "$abc$24763$n2128": { "hide_name": 1, "bits": [ 526 ], "attributes": { } }, "$abc$24763$n2130": { "hide_name": 1, "bits": [ 503 ], "attributes": { } }, "$abc$24763$n2132": { "hide_name": 1, "bits": [ 522 ], "attributes": { } }, "$abc$24763$n2134": { "hide_name": 1, "bits": [ 3610 ], "attributes": { } }, "$abc$24763$n2136": { "hide_name": 1, "bits": [ 3677 ], "attributes": { } }, "$abc$24763$n215": { "hide_name": 1, "bits": [ 186 ], "attributes": { } }, "$abc$24763$n218": { "hide_name": 1, "bits": [ 189 ], "attributes": { } }, "$abc$24763$n2182": { "hide_name": 1, "bits": [ 1143 ], "attributes": { } }, "$abc$24763$n2183": { "hide_name": 1, "bits": [ 1144 ], "attributes": { } }, "$abc$24763$n2184": { "hide_name": 1, "bits": [ 1145 ], "attributes": { } }, "$abc$24763$n220": { "hide_name": 1, "bits": [ 52 ], "attributes": { } }, "$abc$24763$n2209": { "hide_name": 1, "bits": [ 1147 ], "attributes": { } }, "$abc$24763$n2213": { "hide_name": 1, "bits": [ 1153 ], "attributes": { } }, "$abc$24763$n2215": { "hide_name": 1, "bits": [ 1156 ], "attributes": { } }, "$abc$24763$n2217": { "hide_name": 1, "bits": [ 1159 ], "attributes": { } }, "$abc$24763$n2219": { "hide_name": 1, "bits": [ 1162 ], "attributes": { } }, "$abc$24763$n2221": { "hide_name": 1, "bits": [ 1165 ], "attributes": { } }, "$abc$24763$n2223": { "hide_name": 1, "bits": [ 1168 ], "attributes": { } }, "$abc$24763$n2258": { "hide_name": 1, "bits": [ 1170 ], "attributes": { } }, "$abc$24763$n2259": { "hide_name": 1, "bits": [ 1171 ], "attributes": { } }, "$abc$24763$n2260": { "hide_name": 1, "bits": [ 1172 ], "attributes": { } }, "$abc$24763$n2273_1": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, "$abc$24763$n2274": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, "$abc$24763$n2277": { "hide_name": 1, "bits": [ 1173 ], "attributes": { } }, "$abc$24763$n2278": { "hide_name": 1, "bits": [ 1174 ], "attributes": { } }, "$abc$24763$n2279": { "hide_name": 1, "bits": [ 1175 ], "attributes": { } }, "$abc$24763$n2279_1": { "hide_name": 1, "bits": [ 31 ], "attributes": { } }, "$abc$24763$n2280": { "hide_name": 1, "bits": [ 29 ], "attributes": { } }, "$abc$24763$n2285": { "hide_name": 1, "bits": [ 43 ], "attributes": { } }, "$abc$24763$n2289": { "hide_name": 1, "bits": [ 51 ], "attributes": { } }, "$abc$24763$n2290": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, "$abc$24763$n2292": { "hide_name": 1, "bits": [ 55 ], "attributes": { } }, "$abc$24763$n2293": { "hide_name": 1, "bits": [ 56 ], "attributes": { } }, "$abc$24763$n2294": { "hide_name": 1, "bits": [ 54 ], "attributes": { } }, "$abc$24763$n2297": { "hide_name": 1, "bits": [ 60 ], "attributes": { } }, "$abc$24763$n2298": { "hide_name": 1, "bits": [ 64 ], "attributes": { } }, "$abc$24763$n2299": { "hide_name": 1, "bits": [ 67 ], "attributes": { } }, "$abc$24763$n2300": { "hide_name": 1, "bits": [ 69 ], "attributes": { } }, "$abc$24763$n2301": { "hide_name": 1, "bits": [ 71 ], "attributes": { } }, "$abc$24763$n2302": { "hide_name": 1, "bits": [ 72 ], "attributes": { } }, "$abc$24763$n2303": { "hide_name": 1, "bits": [ 68 ], "attributes": { } }, "$abc$24763$n2304_1": { "hide_name": 1, "bits": [ 70 ], "attributes": { } }, "$abc$24763$n2305": { "hide_name": 1, "bits": [ 73 ], "attributes": { } }, "$abc$24763$n2306": { "hide_name": 1, "bits": [ 66 ], "attributes": { } }, "$abc$24763$n2307": { "hide_name": 1, "bits": [ 63 ], "attributes": { } }, "$abc$24763$n2308": { "hide_name": 1, "bits": [ 75 ], "attributes": { } }, "$abc$24763$n2309": { "hide_name": 1, "bits": [ 59 ], "attributes": { } }, "$abc$24763$n2310": { "hide_name": 1, "bits": [ 76 ], "attributes": { } }, "$abc$24763$n2312": { "hide_name": 1, "bits": [ 78 ], "attributes": { } }, "$abc$24763$n2314_1": { "hide_name": 1, "bits": [ 82 ], "attributes": { } }, "$abc$24763$n2331_1": { "hide_name": 1, "bits": [ 135 ], "attributes": { } }, "$abc$24763$n2335_1": { "hide_name": 1, "bits": [ 148 ], "attributes": { } }, "$abc$24763$n2336": { "hide_name": 1, "bits": [ 145 ], "attributes": { } }, "$abc$24763$n2337": { "hide_name": 1, "bits": [ 143 ], "attributes": { } }, "$abc$24763$n2339": { "hide_name": 1, "bits": [ 157 ], "attributes": { } }, "$abc$24763$n2340": { "hide_name": 1, "bits": [ 162 ], "attributes": { } }, "$abc$24763$n2342": { "hide_name": 1, "bits": [ 166 ], "attributes": { } }, "$abc$24763$n2343": { "hide_name": 1, "bits": [ 168 ], "attributes": { } }, "$abc$24763$n2344": { "hide_name": 1, "bits": [ 170 ], "attributes": { } }, "$abc$24763$n2345": { "hide_name": 1, "bits": [ 136 ], "attributes": { } }, "$abc$24763$n2346": { "hide_name": 1, "bits": [ 173 ], "attributes": { } }, "$abc$24763$n2348": { "hide_name": 1, "bits": [ 175 ], "attributes": { } }, "$abc$24763$n2349": { "hide_name": 1, "bits": [ 176 ], "attributes": { } }, "$abc$24763$n2353": { "hide_name": 1, "bits": [ 180 ], "attributes": { } }, "$abc$24763$n2356": { "hide_name": 1, "bits": [ 183 ], "attributes": { } }, "$abc$24763$n236": { "hide_name": 1, "bits": [ 192 ], "attributes": { } }, "$abc$24763$n2364": { "hide_name": 1, "bits": [ 193 ], "attributes": { } }, "$abc$24763$n2365": { "hide_name": 1, "bits": [ 197 ], "attributes": { } }, "$abc$24763$n2366": { "hide_name": 1, "bits": [ 196 ], "attributes": { } }, "$abc$24763$n2367": { "hide_name": 1, "bits": [ 194 ], "attributes": { } }, "$abc$24763$n2370": { "hide_name": 1, "bits": [ 204 ], "attributes": { } }, "$abc$24763$n2371": { "hide_name": 1, "bits": [ 206 ], "attributes": { } }, "$abc$24763$n2372": { "hide_name": 1, "bits": [ 207 ], "attributes": { } }, "$abc$24763$n2373": { "hide_name": 1, "bits": [ 208 ], "attributes": { } }, "$abc$24763$n2376": { "hide_name": 1, "bits": [ 218 ], "attributes": { } }, "$abc$24763$n238": { "hide_name": 1, "bits": [ 203 ], "attributes": { } }, "$abc$24763$n2383_1": { "hide_name": 1, "bits": [ 230 ], "attributes": { } }, "$abc$24763$n2384_1": { "hide_name": 1, "bits": [ 232 ], "attributes": { } }, "$abc$24763$n2387": { "hide_name": 1, "bits": [ 1286 ], "attributes": { } }, "$abc$24763$n2387_1": { "hide_name": 1, "bits": [ 229 ], "attributes": { } }, "$abc$24763$n2388": { "hide_name": 1, "bits": [ 1287 ], "attributes": { } }, "$abc$24763$n2388_1": { "hide_name": 1, "bits": [ 239 ], "attributes": { } }, "$abc$24763$n2389": { "hide_name": 1, "bits": [ 1288 ], "attributes": { } }, "$abc$24763$n2390": { "hide_name": 1, "bits": [ 240 ], "attributes": { } }, "$abc$24763$n2395": { "hide_name": 1, "bits": [ 250 ], "attributes": { } }, "$abc$24763$n2396": { "hide_name": 1, "bits": [ 1291 ], "attributes": { } }, "$abc$24763$n2397": { "hide_name": 1, "bits": [ 800 ], "attributes": { } }, "$abc$24763$n2398": { "hide_name": 1, "bits": [ 801 ], "attributes": { } }, "$abc$24763$n2399": { "hide_name": 1, "bits": [ 776 ], "attributes": { } }, "$abc$24763$n2400": { "hide_name": 1, "bits": [ 777 ], "attributes": { } }, "$abc$24763$n2401": { "hide_name": 1, "bits": [ 1177 ], "attributes": { } }, "$abc$24763$n2402": { "hide_name": 1, "bits": [ 1176 ], "attributes": { } }, "$abc$24763$n2402_1": { "hide_name": 1, "bits": [ 252 ], "attributes": { } }, "$abc$24763$n2403": { "hide_name": 1, "bits": [ 1178 ], "attributes": { } }, "$abc$24763$n2404": { "hide_name": 1, "bits": [ 1184 ], "attributes": { } }, "$abc$24763$n2405": { "hide_name": 1, "bits": [ 1185 ], "attributes": { } }, "$abc$24763$n2406": { "hide_name": 1, "bits": [ 1190 ], "attributes": { } }, "$abc$24763$n2407": { "hide_name": 1, "bits": [ 1191 ], "attributes": { } }, "$abc$24763$n2407_1": { "hide_name": 1, "bits": [ 258 ], "attributes": { } }, "$abc$24763$n2408": { "hide_name": 1, "bits": [ 1196 ], "attributes": { } }, "$abc$24763$n2408_1": { "hide_name": 1, "bits": [ 263 ], "attributes": { } }, "$abc$24763$n2409": { "hide_name": 1, "bits": [ 1197 ], "attributes": { } }, "$abc$24763$n2409_1": { "hide_name": 1, "bits": [ 265 ], "attributes": { } }, "$abc$24763$n2410": { "hide_name": 1, "bits": [ 1202 ], "attributes": { } }, "$abc$24763$n2410_1": { "hide_name": 1, "bits": [ 266 ], "attributes": { } }, "$abc$24763$n2411": { "hide_name": 1, "bits": [ 1203 ], "attributes": { } }, "$abc$24763$n2411_1": { "hide_name": 1, "bits": [ 267 ], "attributes": { } }, "$abc$24763$n2412": { "hide_name": 1, "bits": [ 1208 ], "attributes": { } }, "$abc$24763$n2412_1": { "hide_name": 1, "bits": [ 268 ], "attributes": { } }, "$abc$24763$n2413": { "hide_name": 1, "bits": [ 1209 ], "attributes": { } }, "$abc$24763$n2413_1": { "hide_name": 1, "bits": [ 264 ], "attributes": { } }, "$abc$24763$n2414": { "hide_name": 1, "bits": [ 1214 ], "attributes": { } }, "$abc$24763$n2414_1": { "hide_name": 1, "bits": [ 259 ], "attributes": { } }, "$abc$24763$n2415": { "hide_name": 1, "bits": [ 1215 ], "attributes": { } }, "$abc$24763$n2416": { "hide_name": 1, "bits": [ 1220 ], "attributes": { } }, "$abc$24763$n2416_1": { "hide_name": 1, "bits": [ 291 ], "attributes": { } }, "$abc$24763$n2417": { "hide_name": 1, "bits": [ 1221 ], "attributes": { } }, "$abc$24763$n2420": { "hide_name": 1, "bits": [ 1296 ], "attributes": { } }, "$abc$24763$n2423": { "hide_name": 1, "bits": [ 1299 ], "attributes": { } }, "$abc$24763$n2426": { "hide_name": 1, "bits": [ 1302 ], "attributes": { } }, "$abc$24763$n2428_1": { "hide_name": 1, "bits": [ 313 ], "attributes": { } }, "$abc$24763$n2429": { "hide_name": 1, "bits": [ 1305 ], "attributes": { } }, "$abc$24763$n2431_1": { "hide_name": 1, "bits": [ 318 ], "attributes": { } }, "$abc$24763$n2432": { "hide_name": 1, "bits": [ 1308 ], "attributes": { } }, "$abc$24763$n2433_1": { "hide_name": 1, "bits": [ 326 ], "attributes": { } }, "$abc$24763$n2434": { "hide_name": 1, "bits": [ 1310 ], "attributes": { } }, "$abc$24763$n2435": { "hide_name": 1, "bits": [ 307 ], "attributes": { } }, "$abc$24763$n2435_1": { "hide_name": 1, "bits": [ 332 ], "attributes": { } }, "$abc$24763$n2436": { "hide_name": 1, "bits": [ 1311 ], "attributes": { } }, "$abc$24763$n2437": { "hide_name": 1, "bits": [ 1312 ], "attributes": { } }, "$abc$24763$n2438": { "hide_name": 1, "bits": [ 1313 ], "attributes": { } }, "$abc$24763$n2438_1": { "hide_name": 1, "bits": [ 341 ], "attributes": { } }, "$abc$24763$n2439": { "hide_name": 1, "bits": [ 1314 ], "attributes": { } }, "$abc$24763$n2439_1": { "hide_name": 1, "bits": [ 343 ], "attributes": { } }, "$abc$24763$n2440": { "hide_name": 1, "bits": [ 1315 ], "attributes": { } }, "$abc$24763$n2440_1": { "hide_name": 1, "bits": [ 340 ], "attributes": { } }, "$abc$24763$n2441": { "hide_name": 1, "bits": [ 1316 ], "attributes": { } }, "$abc$24763$n2442": { "hide_name": 1, "bits": [ 1317 ], "attributes": { } }, "$abc$24763$n2442_1": { "hide_name": 1, "bits": [ 345 ], "attributes": { } }, "$abc$24763$n2443": { "hide_name": 1, "bits": [ 1318 ], "attributes": { } }, "$abc$24763$n2443_1": { "hide_name": 1, "bits": [ 344 ], "attributes": { } }, "$abc$24763$n2444": { "hide_name": 1, "bits": [ 1319 ], "attributes": { } }, "$abc$24763$n2445_1": { "hide_name": 1, "bits": [ 348 ], "attributes": { } }, "$abc$24763$n2447_1": { "hide_name": 1, "bits": [ 352 ], "attributes": { } }, "$abc$24763$n2448": { "hide_name": 1, "bits": [ 351 ], "attributes": { } }, "$abc$24763$n2450_1": { "hide_name": 1, "bits": [ 361 ], "attributes": { } }, "$abc$24763$n2455_1": { "hide_name": 1, "bits": [ 362 ], "attributes": { } }, "$abc$24763$n2458_1": { "hide_name": 1, "bits": [ 375 ], "attributes": { } }, "$abc$24763$n2461_1": { "hide_name": 1, "bits": [ 374 ], "attributes": { } }, "$abc$24763$n2469_1": { "hide_name": 1, "bits": [ 398 ], "attributes": { } }, "$abc$24763$n2470_1": { "hide_name": 1, "bits": [ 404 ], "attributes": { } }, "$abc$24763$n2473_1": { "hide_name": 1, "bits": [ 410 ], "attributes": { } }, "$abc$24763$n2475_1": { "hide_name": 1, "bits": [ 411 ], "attributes": { } }, "$abc$24763$n2476_1": { "hide_name": 1, "bits": [ 409 ], "attributes": { } }, "$abc$24763$n2477_1": { "hide_name": 1, "bits": [ 405 ], "attributes": { } }, "$abc$24763$n2480_1": { "hide_name": 1, "bits": [ 419 ], "attributes": { } }, "$abc$24763$n2483_1": { "hide_name": 1, "bits": [ 422 ], "attributes": { } }, "$abc$24763$n2487_1": { "hide_name": 1, "bits": [ 425 ], "attributes": { } }, "$abc$24763$n2488_1": { "hide_name": 1, "bits": [ 399 ], "attributes": { } }, "$abc$24763$n249": { "hide_name": 1, "bits": [ 205 ], "attributes": { } }, "$abc$24763$n2491_1": { "hide_name": 1, "bits": [ 431 ], "attributes": { } }, "$abc$24763$n2494_1": { "hide_name": 1, "bits": [ 434 ], "attributes": { } }, "$abc$24763$n2495_1": { "hide_name": 1, "bits": [ 400 ], "attributes": { } }, "$abc$24763$n2498_1": { "hide_name": 1, "bits": [ 441 ], "attributes": { } }, "$abc$24763$n2501_1": { "hide_name": 1, "bits": [ 445 ], "attributes": { } }, "$abc$24763$n2505_1": { "hide_name": 1, "bits": [ 451 ], "attributes": { } }, "$abc$24763$n251": { "hide_name": 1, "bits": [ 217 ], "attributes": { } }, "$abc$24763$n2510_1": { "hide_name": 1, "bits": [ 458 ], "attributes": { } }, "$abc$24763$n2514_1": { "hide_name": 1, "bits": [ 463 ], "attributes": { } }, "$abc$24763$n2515_1": { "hide_name": 1, "bits": [ 468 ], "attributes": { } }, "$abc$24763$n2517_1": { "hide_name": 1, "bits": [ 474 ], "attributes": { } }, "$abc$24763$n2518_1": { "hide_name": 1, "bits": [ 478 ], "attributes": { } }, "$abc$24763$n2519": { "hide_name": 1, "bits": [ 1181 ], "attributes": { } }, "$abc$24763$n2519_1": { "hide_name": 1, "bits": [ 479 ], "attributes": { } }, "$abc$24763$n252": { "hide_name": 1, "bits": [ 219 ], "attributes": { } }, "$abc$24763$n2520": { "hide_name": 1, "bits": [ 1180 ], "attributes": { } }, "$abc$24763$n2520_1": { "hide_name": 1, "bits": [ 476 ], "attributes": { } }, "$abc$24763$n2521": { "hide_name": 1, "bits": [ 1182 ], "attributes": { } }, "$abc$24763$n2521_1": { "hide_name": 1, "bits": [ 475 ], "attributes": { } }, "$abc$24763$n2522": { "hide_name": 1, "bits": [ 1187 ], "attributes": { } }, "$abc$24763$n2522_1": { "hide_name": 1, "bits": [ 480 ], "attributes": { } }, "$abc$24763$n2523": { "hide_name": 1, "bits": [ 1188 ], "attributes": { } }, "$abc$24763$n2523_1": { "hide_name": 1, "bits": [ 481 ], "attributes": { } }, "$abc$24763$n2524": { "hide_name": 1, "bits": [ 1193 ], "attributes": { } }, "$abc$24763$n2524_1": { "hide_name": 1, "bits": [ 482 ], "attributes": { } }, "$abc$24763$n2525": { "hide_name": 1, "bits": [ 1194 ], "attributes": { } }, "$abc$24763$n2525_1": { "hide_name": 1, "bits": [ 473 ], "attributes": { } }, "$abc$24763$n2526": { "hide_name": 1, "bits": [ 1199 ], "attributes": { } }, "$abc$24763$n2526_1": { "hide_name": 1, "bits": [ 470 ], "attributes": { } }, "$abc$24763$n2527": { "hide_name": 1, "bits": [ 1200 ], "attributes": { } }, "$abc$24763$n2528": { "hide_name": 1, "bits": [ 1205 ], "attributes": { } }, "$abc$24763$n2528_1": { "hide_name": 1, "bits": [ 487 ], "attributes": { } }, "$abc$24763$n2529": { "hide_name": 1, "bits": [ 1206 ], "attributes": { } }, "$abc$24763$n2530": { "hide_name": 1, "bits": [ 1211 ], "attributes": { } }, "$abc$24763$n2531": { "hide_name": 1, "bits": [ 1212 ], "attributes": { } }, "$abc$24763$n2531_1": { "hide_name": 1, "bits": [ 486 ], "attributes": { } }, "$abc$24763$n2532": { "hide_name": 1, "bits": [ 1217 ], "attributes": { } }, "$abc$24763$n2532_1": { "hide_name": 1, "bits": [ 490 ], "attributes": { } }, "$abc$24763$n2533": { "hide_name": 1, "bits": [ 1218 ], "attributes": { } }, "$abc$24763$n2533_1": { "hide_name": 1, "bits": [ 471 ], "attributes": { } }, "$abc$24763$n2534": { "hide_name": 1, "bits": [ 1223 ], "attributes": { } }, "$abc$24763$n2535": { "hide_name": 1, "bits": [ 1224 ], "attributes": { } }, "$abc$24763$n2535_1": { "hide_name": 1, "bits": [ 493 ], "attributes": { } }, "$abc$24763$n2538_1": { "hide_name": 1, "bits": [ 492 ], "attributes": { } }, "$abc$24763$n2539": { "hide_name": 1, "bits": [ 1393 ], "attributes": { } }, "$abc$24763$n2539_1": { "hide_name": 1, "bits": [ 469 ], "attributes": { } }, "$abc$24763$n2540": { "hide_name": 1, "bits": [ 1394 ], "attributes": { } }, "$abc$24763$n2541": { "hide_name": 1, "bits": [ 1395 ], "attributes": { } }, "$abc$24763$n2541_1": { "hide_name": 1, "bits": [ 500 ], "attributes": { } }, "$abc$24763$n2542": { "hide_name": 1, "bits": [ 1396 ], "attributes": { } }, "$abc$24763$n2542_1": { "hide_name": 1, "bits": [ 499 ], "attributes": { } }, "$abc$24763$n2543": { "hide_name": 1, "bits": [ 1397 ], "attributes": { } }, "$abc$24763$n2544": { "hide_name": 1, "bits": [ 1398 ], "attributes": { } }, "$abc$24763$n2544_1": { "hide_name": 1, "bits": [ 505 ], "attributes": { } }, "$abc$24763$n2545": { "hide_name": 1, "bits": [ 1399 ], "attributes": { } }, "$abc$24763$n2545_1": { "hide_name": 1, "bits": [ 504 ], "attributes": { } }, "$abc$24763$n2546": { "hide_name": 1, "bits": [ 1400 ], "attributes": { } }, "$abc$24763$n2546_1": { "hide_name": 1, "bits": [ 466 ], "attributes": { } }, "$abc$24763$n2547": { "hide_name": 1, "bits": [ 1401 ], "attributes": { } }, "$abc$24763$n2548": { "hide_name": 1, "bits": [ 1402 ], "attributes": { } }, "$abc$24763$n2548_1": { "hide_name": 1, "bits": [ 510 ], "attributes": { } }, "$abc$24763$n2549": { "hide_name": 1, "bits": [ 1403 ], "attributes": { } }, "$abc$24763$n2549_1": { "hide_name": 1, "bits": [ 511 ], "attributes": { } }, "$abc$24763$n2550": { "hide_name": 1, "bits": [ 1404 ], "attributes": { } }, "$abc$24763$n2550_1": { "hide_name": 1, "bits": [ 513 ], "attributes": { } }, "$abc$24763$n2551": { "hide_name": 1, "bits": [ 1405 ], "attributes": { } }, "$abc$24763$n2551_1": { "hide_name": 1, "bits": [ 515 ], "attributes": { } }, "$abc$24763$n2552": { "hide_name": 1, "bits": [ 1406 ], "attributes": { } }, "$abc$24763$n2552_1": { "hide_name": 1, "bits": [ 512 ], "attributes": { } }, "$abc$24763$n2553": { "hide_name": 1, "bits": [ 1407 ], "attributes": { } }, "$abc$24763$n2553_1": { "hide_name": 1, "bits": [ 514 ], "attributes": { } }, "$abc$24763$n2554": { "hide_name": 1, "bits": [ 1408 ], "attributes": { } }, "$abc$24763$n2554_1": { "hide_name": 1, "bits": [ 467 ], "attributes": { } }, "$abc$24763$n2555": { "hide_name": 1, "bits": [ 1409 ], "attributes": { } }, "$abc$24763$n2556": { "hide_name": 1, "bits": [ 1410 ], "attributes": { } }, "$abc$24763$n2556_1": { "hide_name": 1, "bits": [ 519 ], "attributes": { } }, "$abc$24763$n2557": { "hide_name": 1, "bits": [ 1411 ], "attributes": { } }, "$abc$24763$n2557_1": { "hide_name": 1, "bits": [ 518 ], "attributes": { } }, "$abc$24763$n2558": { "hide_name": 1, "bits": [ 1412 ], "attributes": { } }, "$abc$24763$n2558_1": { "hide_name": 1, "bits": [ 464 ], "attributes": { } }, "$abc$24763$n2559": { "hide_name": 1, "bits": [ 1413 ], "attributes": { } }, "$abc$24763$n2559_1": { "hide_name": 1, "bits": [ 524 ], "attributes": { } }, "$abc$24763$n2560": { "hide_name": 1, "bits": [ 1414 ], "attributes": { } }, "$abc$24763$n2560_1": { "hide_name": 1, "bits": [ 525 ], "attributes": { } }, "$abc$24763$n2561": { "hide_name": 1, "bits": [ 1415 ], "attributes": { } }, "$abc$24763$n2561_1": { "hide_name": 1, "bits": [ 523 ], "attributes": { } }, "$abc$24763$n2562": { "hide_name": 1, "bits": [ 1416 ], "attributes": { } }, "$abc$24763$n2563": { "hide_name": 1, "bits": [ 1417 ], "attributes": { } }, "$abc$24763$n2564": { "hide_name": 1, "bits": [ 1418 ], "attributes": { } }, "$abc$24763$n2565": { "hide_name": 1, "bits": [ 1419 ], "attributes": { } }, "$abc$24763$n2566": { "hide_name": 1, "bits": [ 1420 ], "attributes": { } }, "$abc$24763$n2567": { "hide_name": 1, "bits": [ 1421 ], "attributes": { } }, "$abc$24763$n2567_1": { "hide_name": 1, "bits": [ 530 ], "attributes": { } }, "$abc$24763$n2568": { "hide_name": 1, "bits": [ 1422 ], "attributes": { } }, "$abc$24763$n2569": { "hide_name": 1, "bits": [ 1423 ], "attributes": { } }, "$abc$24763$n257": { "hide_name": 1, "bits": [ 222 ], "attributes": { } }, "$abc$24763$n2570": { "hide_name": 1, "bits": [ 1424 ], "attributes": { } }, "$abc$24763$n2571": { "hide_name": 1, "bits": [ 1425 ], "attributes": { } }, "$abc$24763$n2572": { "hide_name": 1, "bits": [ 1427 ], "attributes": { } }, "$abc$24763$n2573": { "hide_name": 1, "bits": [ 788 ], "attributes": { } }, "$abc$24763$n2574": { "hide_name": 1, "bits": [ 789 ], "attributes": { } }, "$abc$24763$n2575": { "hide_name": 1, "bits": [ 1428 ], "attributes": { } }, "$abc$24763$n2576": { "hide_name": 1, "bits": [ 1429 ], "attributes": { } }, "$abc$24763$n2576_1": { "hide_name": 1, "bits": [ 544 ], "attributes": { } }, "$abc$24763$n2577": { "hide_name": 1, "bits": [ 1430 ], "attributes": { } }, "$abc$24763$n2578": { "hide_name": 1, "bits": [ 1431 ], "attributes": { } }, "$abc$24763$n2578_1": { "hide_name": 1, "bits": [ 546 ], "attributes": { } }, "$abc$24763$n2579": { "hide_name": 1, "bits": [ 1432 ], "attributes": { } }, "$abc$24763$n2579_1": { "hide_name": 1, "bits": [ 549 ], "attributes": { } }, "$abc$24763$n2580": { "hide_name": 1, "bits": [ 1433 ], "attributes": { } }, "$abc$24763$n2581": { "hide_name": 1, "bits": [ 1434 ], "attributes": { } }, "$abc$24763$n2583": { "hide_name": 1, "bits": [ 1435 ], "attributes": { } }, "$abc$24763$n2584": { "hide_name": 1, "bits": [ 1438 ], "attributes": { } }, "$abc$24763$n2586": { "hide_name": 1, "bits": [ 1442 ], "attributes": { } }, "$abc$24763$n2587": { "hide_name": 1, "bits": [ 1443 ], "attributes": { } }, "$abc$24763$n2589": { "hide_name": 1, "bits": [ 1477 ], "attributes": { } }, "$abc$24763$n259": { "hide_name": 1, "bits": [ 223 ], "attributes": { } }, "$abc$24763$n2590": { "hide_name": 1, "bits": [ 1479 ], "attributes": { } }, "$abc$24763$n2592": { "hide_name": 1, "bits": [ 1505 ], "attributes": { } }, "$abc$24763$n2593": { "hide_name": 1, "bits": [ 1507 ], "attributes": { } }, "$abc$24763$n2595": { "hide_name": 1, "bits": [ 1509 ], "attributes": { } }, "$abc$24763$n2596": { "hide_name": 1, "bits": [ 1511 ], "attributes": { } }, "$abc$24763$n2598": { "hide_name": 1, "bits": [ 1513 ], "attributes": { } }, "$abc$24763$n2599": { "hide_name": 1, "bits": [ 1515 ], "attributes": { } }, "$abc$24763$n260": { "hide_name": 1, "bits": [ 225 ], "attributes": { } }, "$abc$24763$n2601": { "hide_name": 1, "bits": [ 1517 ], "attributes": { } }, "$abc$24763$n2602": { "hide_name": 1, "bits": [ 1519 ], "attributes": { } }, "$abc$24763$n2604": { "hide_name": 1, "bits": [ 1522 ], "attributes": { } }, "$abc$24763$n2605": { "hide_name": 1, "bits": [ 1524 ], "attributes": { } }, "$abc$24763$n2607": { "hide_name": 1, "bits": [ 1527 ], "attributes": { } }, "$abc$24763$n2608": { "hide_name": 1, "bits": [ 1529 ], "attributes": { } }, "$abc$24763$n261": { "hide_name": 1, "bits": [ 226 ], "attributes": { } }, "$abc$24763$n2610": { "hide_name": 1, "bits": [ 1532 ], "attributes": { } }, "$abc$24763$n2611": { "hide_name": 1, "bits": [ 1534 ], "attributes": { } }, "$abc$24763$n2613": { "hide_name": 1, "bits": [ 1537 ], "attributes": { } }, "$abc$24763$n2614": { "hide_name": 1, "bits": [ 1539 ], "attributes": { } }, "$abc$24763$n2616": { "hide_name": 1, "bits": [ 1542 ], "attributes": { } }, "$abc$24763$n2617": { "hide_name": 1, "bits": [ 1544 ], "attributes": { } }, "$abc$24763$n2619": { "hide_name": 1, "bits": [ 1547 ], "attributes": { } }, "$abc$24763$n2620": { "hide_name": 1, "bits": [ 1549 ], "attributes": { } }, "$abc$24763$n2622": { "hide_name": 1, "bits": [ 1552 ], "attributes": { } }, "$abc$24763$n2623": { "hide_name": 1, "bits": [ 1554 ], "attributes": { } }, "$abc$24763$n2625": { "hide_name": 1, "bits": [ 1557 ], "attributes": { } }, "$abc$24763$n2626": { "hide_name": 1, "bits": [ 1559 ], "attributes": { } }, "$abc$24763$n2628": { "hide_name": 1, "bits": [ 1562 ], "attributes": { } }, "$abc$24763$n2629": { "hide_name": 1, "bits": [ 1564 ], "attributes": { } }, "$abc$24763$n263": { "hide_name": 1, "bits": [ 228 ], "attributes": { } }, "$abc$24763$n2631": { "hide_name": 1, "bits": [ 1567 ], "attributes": { } }, "$abc$24763$n2632": { "hide_name": 1, "bits": [ 1569 ], "attributes": { } }, "$abc$24763$n2634": { "hide_name": 1, "bits": [ 1571 ], "attributes": { } }, "$abc$24763$n2635": { "hide_name": 1, "bits": [ 1573 ], "attributes": { } }, "$abc$24763$n2637": { "hide_name": 1, "bits": [ 1576 ], "attributes": { } }, "$abc$24763$n2638": { "hide_name": 1, "bits": [ 1578 ], "attributes": { } }, "$abc$24763$n2640": { "hide_name": 1, "bits": [ 1581 ], "attributes": { } }, "$abc$24763$n2641": { "hide_name": 1, "bits": [ 1583 ], "attributes": { } }, "$abc$24763$n2643": { "hide_name": 1, "bits": [ 1586 ], "attributes": { } }, "$abc$24763$n2644": { "hide_name": 1, "bits": [ 1588 ], "attributes": { } }, "$abc$24763$n2646": { "hide_name": 1, "bits": [ 1591 ], "attributes": { } }, "$abc$24763$n2647": { "hide_name": 1, "bits": [ 1593 ], "attributes": { } }, "$abc$24763$n2649": { "hide_name": 1, "bits": [ 1596 ], "attributes": { } }, "$abc$24763$n265": { "hide_name": 1, "bits": [ 246 ], "attributes": { } }, "$abc$24763$n2650": { "hide_name": 1, "bits": [ 1598 ], "attributes": { } }, "$abc$24763$n2652": { "hide_name": 1, "bits": [ 1601 ], "attributes": { } }, "$abc$24763$n2653": { "hide_name": 1, "bits": [ 1603 ], "attributes": { } }, "$abc$24763$n2655": { "hide_name": 1, "bits": [ 1606 ], "attributes": { } }, "$abc$24763$n2656": { "hide_name": 1, "bits": [ 1608 ], "attributes": { } }, "$abc$24763$n2658": { "hide_name": 1, "bits": [ 1611 ], "attributes": { } }, "$abc$24763$n2659": { "hide_name": 1, "bits": [ 1613 ], "attributes": { } }, "$abc$24763$n2661": { "hide_name": 1, "bits": [ 1616 ], "attributes": { } }, "$abc$24763$n2662": { "hide_name": 1, "bits": [ 1618 ], "attributes": { } }, "$abc$24763$n2664": { "hide_name": 1, "bits": [ 1621 ], "attributes": { } }, "$abc$24763$n2665": { "hide_name": 1, "bits": [ 1623 ], "attributes": { } }, "$abc$24763$n2667": { "hide_name": 1, "bits": [ 1626 ], "attributes": { } }, "$abc$24763$n2667_1": { "hide_name": 1, "bits": [ 763 ], "attributes": { } }, "$abc$24763$n2668": { "hide_name": 1, "bits": [ 1628 ], "attributes": { } }, "$abc$24763$n2668_1": { "hide_name": 1, "bits": [ 765 ], "attributes": { } }, "$abc$24763$n2670": { "hide_name": 1, "bits": [ 1631 ], "attributes": { } }, "$abc$24763$n2671": { "hide_name": 1, "bits": [ 1633 ], "attributes": { } }, "$abc$24763$n2672": { "hide_name": 1, "bits": [ 772 ], "attributes": { } }, "$abc$24763$n2673": { "hide_name": 1, "bits": [ 1636 ], "attributes": { } }, "$abc$24763$n2674": { "hide_name": 1, "bits": [ 1638 ], "attributes": { } }, "$abc$24763$n2676": { "hide_name": 1, "bits": [ 1641 ], "attributes": { } }, "$abc$24763$n2677": { "hide_name": 1, "bits": [ 1643 ], "attributes": { } }, "$abc$24763$n2677_1": { "hide_name": 1, "bits": [ 778 ], "attributes": { } }, "$abc$24763$n2681": { "hide_name": 1, "bits": [ 781 ], "attributes": { } }, "$abc$24763$n2685_1": { "hide_name": 1, "bits": [ 784 ], "attributes": { } }, "$abc$24763$n2689_1": { "hide_name": 1, "bits": [ 787 ], "attributes": { } }, "$abc$24763$n2693_1": { "hide_name": 1, "bits": [ 790 ], "attributes": { } }, "$abc$24763$n2697_1": { "hide_name": 1, "bits": [ 793 ], "attributes": { } }, "$abc$24763$n2701_1": { "hide_name": 1, "bits": [ 796 ], "attributes": { } }, "$abc$24763$n2705": { "hide_name": 1, "bits": [ 799 ], "attributes": { } }, "$abc$24763$n2709": { "hide_name": 1, "bits": [ 802 ], "attributes": { } }, "$abc$24763$n2713": { "hide_name": 1, "bits": [ 805 ], "attributes": { } }, "$abc$24763$n2717": { "hide_name": 1, "bits": [ 808 ], "attributes": { } }, "$abc$24763$n2721": { "hide_name": 1, "bits": [ 1647 ], "attributes": { } }, "$abc$24763$n2721_1": { "hide_name": 1, "bits": [ 811 ], "attributes": { } }, "$abc$24763$n2722": { "hide_name": 1, "bits": [ 1649 ], "attributes": { } }, "$abc$24763$n2724": { "hide_name": 1, "bits": [ 3681 ], "attributes": { } }, "$abc$24763$n2725": { "hide_name": 1, "bits": [ 3682 ], "attributes": { } }, "$abc$24763$n2725_1": { "hide_name": 1, "bits": [ 814 ], "attributes": { } }, "$abc$24763$n2727": { "hide_name": 1, "bits": [ 3685 ], "attributes": { } }, "$abc$24763$n2728": { "hide_name": 1, "bits": [ 3686 ], "attributes": { } }, "$abc$24763$n2729": { "hide_name": 1, "bits": [ 817 ], "attributes": { } }, "$abc$24763$n2730": { "hide_name": 1, "bits": [ 1709 ], "attributes": { } }, "$abc$24763$n2731": { "hide_name": 1, "bits": [ 1710 ], "attributes": { } }, "$abc$24763$n2733": { "hide_name": 1, "bits": [ 1714 ], "attributes": { } }, "$abc$24763$n2734": { "hide_name": 1, "bits": [ 1715 ], "attributes": { } }, "$abc$24763$n2736": { "hide_name": 1, "bits": [ 1719 ], "attributes": { } }, "$abc$24763$n2737": { "hide_name": 1, "bits": [ 1720 ], "attributes": { } }, "$abc$24763$n2739": { "hide_name": 1, "bits": [ 1724 ], "attributes": { } }, "$abc$24763$n2740": { "hide_name": 1, "bits": [ 1725 ], "attributes": { } }, "$abc$24763$n2742": { "hide_name": 1, "bits": [ 1729 ], "attributes": { } }, "$abc$24763$n2743": { "hide_name": 1, "bits": [ 1730 ], "attributes": { } }, "$abc$24763$n2745": { "hide_name": 1, "bits": [ 1734 ], "attributes": { } }, "$abc$24763$n2746": { "hide_name": 1, "bits": [ 1735 ], "attributes": { } }, "$abc$24763$n2748": { "hide_name": 1, "bits": [ 1739 ], "attributes": { } }, "$abc$24763$n2749": { "hide_name": 1, "bits": [ 1740 ], "attributes": { } }, "$abc$24763$n2751": { "hide_name": 1, "bits": [ 1744 ], "attributes": { } }, "$abc$24763$n2752": { "hide_name": 1, "bits": [ 1745 ], "attributes": { } }, "$abc$24763$n2754": { "hide_name": 1, "bits": [ 1749 ], "attributes": { } }, "$abc$24763$n2755": { "hide_name": 1, "bits": [ 1750 ], "attributes": { } }, "$abc$24763$n2757": { "hide_name": 1, "bits": [ 1754 ], "attributes": { } }, "$abc$24763$n2758": { "hide_name": 1, "bits": [ 1755 ], "attributes": { } }, "$abc$24763$n276": { "hide_name": 1, "bits": [ 254 ], "attributes": { } }, "$abc$24763$n2760": { "hide_name": 1, "bits": [ 1759 ], "attributes": { } }, "$abc$24763$n2761": { "hide_name": 1, "bits": [ 1760 ], "attributes": { } }, "$abc$24763$n2763": { "hide_name": 1, "bits": [ 1764 ], "attributes": { } }, "$abc$24763$n2764": { "hide_name": 1, "bits": [ 1765 ], "attributes": { } }, "$abc$24763$n2766": { "hide_name": 1, "bits": [ 1769 ], "attributes": { } }, "$abc$24763$n2767": { "hide_name": 1, "bits": [ 1770 ], "attributes": { } }, "$abc$24763$n2769": { "hide_name": 1, "bits": [ 1774 ], "attributes": { } }, "$abc$24763$n2770": { "hide_name": 1, "bits": [ 1775 ], "attributes": { } }, "$abc$24763$n2772": { "hide_name": 1, "bits": [ 1779 ], "attributes": { } }, "$abc$24763$n2773": { "hide_name": 1, "bits": [ 1780 ], "attributes": { } }, "$abc$24763$n2775": { "hide_name": 1, "bits": [ 1784 ], "attributes": { } }, "$abc$24763$n2776": { "hide_name": 1, "bits": [ 1785 ], "attributes": { } }, "$abc$24763$n2778": { "hide_name": 1, "bits": [ 1789 ], "attributes": { } }, "$abc$24763$n2779": { "hide_name": 1, "bits": [ 1790 ], "attributes": { } }, "$abc$24763$n2781": { "hide_name": 1, "bits": [ 1794 ], "attributes": { } }, "$abc$24763$n2782": { "hide_name": 1, "bits": [ 1795 ], "attributes": { } }, "$abc$24763$n2784": { "hide_name": 1, "bits": [ 1799 ], "attributes": { } }, "$abc$24763$n2785": { "hide_name": 1, "bits": [ 1800 ], "attributes": { } }, "$abc$24763$n2787": { "hide_name": 1, "bits": [ 1804 ], "attributes": { } }, "$abc$24763$n2788": { "hide_name": 1, "bits": [ 1805 ], "attributes": { } }, "$abc$24763$n279": { "hide_name": 1, "bits": [ 253 ], "attributes": { } }, "$abc$24763$n2790": { "hide_name": 1, "bits": [ 1809 ], "attributes": { } }, "$abc$24763$n2791": { "hide_name": 1, "bits": [ 1810 ], "attributes": { } }, "$abc$24763$n2793": { "hide_name": 1, "bits": [ 1814 ], "attributes": { } }, "$abc$24763$n2794": { "hide_name": 1, "bits": [ 1815 ], "attributes": { } }, "$abc$24763$n2796": { "hide_name": 1, "bits": [ 1819 ], "attributes": { } }, "$abc$24763$n2797": { "hide_name": 1, "bits": [ 1820 ], "attributes": { } }, "$abc$24763$n2799": { "hide_name": 1, "bits": [ 1824 ], "attributes": { } }, "$abc$24763$n280": { "hide_name": 1, "bits": [ 255 ], "attributes": { } }, "$abc$24763$n2800": { "hide_name": 1, "bits": [ 1825 ], "attributes": { } }, "$abc$24763$n2801": { "hide_name": 1, "bits": [ 975 ], "attributes": { } }, "$abc$24763$n2802": { "hide_name": 1, "bits": [ 1829 ], "attributes": { } }, "$abc$24763$n2802_1": { "hide_name": 1, "bits": [ 978 ], "attributes": { } }, "$abc$24763$n2803": { "hide_name": 1, "bits": [ 1830 ], "attributes": { } }, "$abc$24763$n2803_1": { "hide_name": 1, "bits": [ 980 ], "attributes": { } }, "$abc$24763$n2805": { "hide_name": 1, "bits": [ 1834 ], "attributes": { } }, "$abc$24763$n2805_1": { "hide_name": 1, "bits": [ 984 ], "attributes": { } }, "$abc$24763$n2806": { "hide_name": 1, "bits": [ 1835 ], "attributes": { } }, "$abc$24763$n2806_1": { "hide_name": 1, "bits": [ 987 ], "attributes": { } }, "$abc$24763$n2807": { "hide_name": 1, "bits": [ 989 ], "attributes": { } }, "$abc$24763$n2808": { "hide_name": 1, "bits": [ 1839 ], "attributes": { } }, "$abc$24763$n2809": { "hide_name": 1, "bits": [ 1840 ], "attributes": { } }, "$abc$24763$n2809_1": { "hide_name": 1, "bits": [ 993 ], "attributes": { } }, "$abc$24763$n2810": { "hide_name": 1, "bits": [ 996 ], "attributes": { } }, "$abc$24763$n2811": { "hide_name": 1, "bits": [ 1844 ], "attributes": { } }, "$abc$24763$n2811_1": { "hide_name": 1, "bits": [ 998 ], "attributes": { } }, "$abc$24763$n2812": { "hide_name": 1, "bits": [ 1845 ], "attributes": { } }, "$abc$24763$n2813": { "hide_name": 1, "bits": [ 1002 ], "attributes": { } }, "$abc$24763$n2814": { "hide_name": 1, "bits": [ 1849 ], "attributes": { } }, "$abc$24763$n2814_1": { "hide_name": 1, "bits": [ 1005 ], "attributes": { } }, "$abc$24763$n2815": { "hide_name": 1, "bits": [ 1850 ], "attributes": { } }, "$abc$24763$n2815_1": { "hide_name": 1, "bits": [ 1007 ], "attributes": { } }, "$abc$24763$n2817_1": { "hide_name": 1, "bits": [ 1011 ], "attributes": { } }, "$abc$24763$n2818": { "hide_name": 1, "bits": [ 1014 ], "attributes": { } }, "$abc$24763$n2819_1": { "hide_name": 1, "bits": [ 1016 ], "attributes": { } }, "$abc$24763$n2821_1": { "hide_name": 1, "bits": [ 1020 ], "attributes": { } }, "$abc$24763$n2822": { "hide_name": 1, "bits": [ 1023 ], "attributes": { } }, "$abc$24763$n2823_1": { "hide_name": 1, "bits": [ 1025 ], "attributes": { } }, "$abc$24763$n2825_1": { "hide_name": 1, "bits": [ 1029 ], "attributes": { } }, "$abc$24763$n2826": { "hide_name": 1, "bits": [ 1032 ], "attributes": { } }, "$abc$24763$n2827_1": { "hide_name": 1, "bits": [ 1034 ], "attributes": { } }, "$abc$24763$n2829_1": { "hide_name": 1, "bits": [ 1038 ], "attributes": { } }, "$abc$24763$n2830": { "hide_name": 1, "bits": [ 1041 ], "attributes": { } }, "$abc$24763$n2831_1": { "hide_name": 1, "bits": [ 1043 ], "attributes": { } }, "$abc$24763$n2833_1": { "hide_name": 1, "bits": [ 1047 ], "attributes": { } }, "$abc$24763$n2834": { "hide_name": 1, "bits": [ 1050 ], "attributes": { } }, "$abc$24763$n2835_1": { "hide_name": 1, "bits": [ 1052 ], "attributes": { } }, "$abc$24763$n2837_1": { "hide_name": 1, "bits": [ 1056 ], "attributes": { } }, "$abc$24763$n2838": { "hide_name": 1, "bits": [ 1059 ], "attributes": { } }, "$abc$24763$n2839_1": { "hide_name": 1, "bits": [ 1061 ], "attributes": { } }, "$abc$24763$n284": { "hide_name": 1, "bits": [ 256 ], "attributes": { } }, "$abc$24763$n2841_1": { "hide_name": 1, "bits": [ 1065 ], "attributes": { } }, "$abc$24763$n2842": { "hide_name": 1, "bits": [ 1068 ], "attributes": { } }, "$abc$24763$n2843_1": { "hide_name": 1, "bits": [ 1070 ], "attributes": { } }, "$abc$24763$n2845_1": { "hide_name": 1, "bits": [ 1074 ], "attributes": { } }, "$abc$24763$n2846": { "hide_name": 1, "bits": [ 1077 ], "attributes": { } }, "$abc$24763$n2847_1": { "hide_name": 1, "bits": [ 1079 ], "attributes": { } }, "$abc$24763$n2849_1": { "hide_name": 1, "bits": [ 1083 ], "attributes": { } }, "$abc$24763$n2850": { "hide_name": 1, "bits": [ 1086 ], "attributes": { } }, "$abc$24763$n2851_1": { "hide_name": 1, "bits": [ 1088 ], "attributes": { } }, "$abc$24763$n2853_1": { "hide_name": 1, "bits": [ 1092 ], "attributes": { } }, "$abc$24763$n2854": { "hide_name": 1, "bits": [ 1095 ], "attributes": { } }, "$abc$24763$n2855_1": { "hide_name": 1, "bits": [ 1097 ], "attributes": { } }, "$abc$24763$n2857_1": { "hide_name": 1, "bits": [ 1100 ], "attributes": { } }, "$abc$24763$n2858": { "hide_name": 1, "bits": [ 1103 ], "attributes": { } }, "$abc$24763$n2860": { "hide_name": 1, "bits": [ 1104 ], "attributes": { } }, "$abc$24763$n2862": { "hide_name": 1, "bits": [ 1107 ], "attributes": { } }, "$abc$24763$n2864": { "hide_name": 1, "bits": [ 1110 ], "attributes": { } }, "$abc$24763$n2866": { "hide_name": 1, "bits": [ 1113 ], "attributes": { } }, "$abc$24763$n2868": { "hide_name": 1, "bits": [ 1116 ], "attributes": { } }, "$abc$24763$n2870": { "hide_name": 1, "bits": [ 1119 ], "attributes": { } }, "$abc$24763$n2872": { "hide_name": 1, "bits": [ 1122 ], "attributes": { } }, "$abc$24763$n2881": { "hide_name": 1, "bits": [ 1139 ], "attributes": { } }, "$abc$24763$n2883": { "hide_name": 1, "bits": [ 1857 ], "attributes": { } }, "$abc$24763$n2885": { "hide_name": 1, "bits": [ 3691 ], "attributes": { } }, "$abc$24763$n2887": { "hide_name": 1, "bits": [ 2078 ], "attributes": { } }, "$abc$24763$n2887_1": { "hide_name": 1, "bits": [ 1142 ], "attributes": { } }, "$abc$24763$n2889": { "hide_name": 1, "bits": [ 2084 ], "attributes": { } }, "$abc$24763$n2891": { "hide_name": 1, "bits": [ 2091 ], "attributes": { } }, "$abc$24763$n2891_1": { "hide_name": 1, "bits": [ 1146 ], "attributes": { } }, "$abc$24763$n2892": { "hide_name": 1, "bits": [ 1149 ], "attributes": { } }, "$abc$24763$n2893": { "hide_name": 1, "bits": [ 3693 ], "attributes": { } }, "$abc$24763$n2894": { "hide_name": 1, "bits": [ 1150 ], "attributes": { } }, "$abc$24763$n2895": { "hide_name": 1, "bits": [ 3695 ], "attributes": { } }, "$abc$24763$n2896_1": { "hide_name": 1, "bits": [ 1152 ], "attributes": { } }, "$abc$24763$n2898": { "hide_name": 1, "bits": [ 1155 ], "attributes": { } }, "$abc$24763$n290": { "hide_name": 1, "bits": [ 3584 ], "attributes": { } }, "$abc$24763$n2900": { "hide_name": 1, "bits": [ 2101 ], "attributes": { } }, "$abc$24763$n2900_1": { "hide_name": 1, "bits": [ 1158 ], "attributes": { } }, "$abc$24763$n2902": { "hide_name": 1, "bits": [ 3704 ], "attributes": { } }, "$abc$24763$n2902_1": { "hide_name": 1, "bits": [ 1161 ], "attributes": { } }, "$abc$24763$n2904": { "hide_name": 1, "bits": [ 2296 ], "attributes": { } }, "$abc$24763$n2904_1": { "hide_name": 1, "bits": [ 1164 ], "attributes": { } }, "$abc$24763$n2906": { "hide_name": 1, "bits": [ 2302 ], "attributes": { } }, "$abc$24763$n2906_1": { "hide_name": 1, "bits": [ 1167 ], "attributes": { } }, "$abc$24763$n2908": { "hide_name": 1, "bits": [ 2307 ], "attributes": { } }, "$abc$24763$n2910": { "hide_name": 1, "bits": [ 2311 ], "attributes": { } }, "$abc$24763$n2912": { "hide_name": 1, "bits": [ 2316 ], "attributes": { } }, "$abc$24763$n2926_1": { "hide_name": 1, "bits": [ 1226 ], "attributes": { } }, "$abc$24763$n2927_1": { "hide_name": 1, "bits": [ 1231 ], "attributes": { } }, "$abc$24763$n2928_1": { "hide_name": 1, "bits": [ 1235 ], "attributes": { } }, "$abc$24763$n2929_1": { "hide_name": 1, "bits": [ 1238 ], "attributes": { } }, "$abc$24763$n2930_1": { "hide_name": 1, "bits": [ 1239 ], "attributes": { } }, "$abc$24763$n2931_1": { "hide_name": 1, "bits": [ 1237 ], "attributes": { } }, "$abc$24763$n2932_1": { "hide_name": 1, "bits": [ 1236 ], "attributes": { } }, "$abc$24763$n2933": { "hide_name": 1, "bits": [ 1232 ], "attributes": { } }, "$abc$24763$n2934": { "hide_name": 1, "bits": [ 2319 ], "attributes": { } }, "$abc$24763$n2934_1": { "hide_name": 1, "bits": [ 1233 ], "attributes": { } }, "$abc$24763$n2935": { "hide_name": 1, "bits": [ 1229 ], "attributes": { } }, "$abc$24763$n2936": { "hide_name": 1, "bits": [ 2321 ], "attributes": { } }, "$abc$24763$n2936_1": { "hide_name": 1, "bits": [ 1228 ], "attributes": { } }, "$abc$24763$n2937_1": { "hide_name": 1, "bits": [ 1227 ], "attributes": { } }, "$abc$24763$n2938": { "hide_name": 1, "bits": [ 2323 ], "attributes": { } }, "$abc$24763$n2939_1": { "hide_name": 1, "bits": [ 1245 ], "attributes": { } }, "$abc$24763$n2940": { "hide_name": 1, "bits": [ 2325 ], "attributes": { } }, "$abc$24763$n2940_1": { "hide_name": 1, "bits": [ 1247 ], "attributes": { } }, "$abc$24763$n2941_1": { "hide_name": 1, "bits": [ 1244 ], "attributes": { } }, "$abc$24763$n2942": { "hide_name": 1, "bits": [ 2327 ], "attributes": { } }, "$abc$24763$n2942_1": { "hide_name": 1, "bits": [ 1248 ], "attributes": { } }, "$abc$24763$n2944": { "hide_name": 1, "bits": [ 2329 ], "attributes": { } }, "$abc$24763$n2944_1": { "hide_name": 1, "bits": [ 1251 ], "attributes": { } }, "$abc$24763$n2945_1": { "hide_name": 1, "bits": [ 1253 ], "attributes": { } }, "$abc$24763$n2946": { "hide_name": 1, "bits": [ 2331 ], "attributes": { } }, "$abc$24763$n2946_1": { "hide_name": 1, "bits": [ 1250 ], "attributes": { } }, "$abc$24763$n2947_1": { "hide_name": 1, "bits": [ 1254 ], "attributes": { } }, "$abc$24763$n2948": { "hide_name": 1, "bits": [ 2333 ], "attributes": { } }, "$abc$24763$n2949_1": { "hide_name": 1, "bits": [ 1257 ], "attributes": { } }, "$abc$24763$n2950": { "hide_name": 1, "bits": [ 2335 ], "attributes": { } }, "$abc$24763$n2950_1": { "hide_name": 1, "bits": [ 1259 ], "attributes": { } }, "$abc$24763$n2951_1": { "hide_name": 1, "bits": [ 1256 ], "attributes": { } }, "$abc$24763$n2952": { "hide_name": 1, "bits": [ 2337 ], "attributes": { } }, "$abc$24763$n2952_1": { "hide_name": 1, "bits": [ 1260 ], "attributes": { } }, "$abc$24763$n2954": { "hide_name": 1, "bits": [ 2339 ], "attributes": { } }, "$abc$24763$n2954_1": { "hide_name": 1, "bits": [ 1262 ], "attributes": { } }, "$abc$24763$n2955_1": { "hide_name": 1, "bits": [ 1265 ], "attributes": { } }, "$abc$24763$n2956": { "hide_name": 1, "bits": [ 2341 ], "attributes": { } }, "$abc$24763$n2956_1": { "hide_name": 1, "bits": [ 1266 ], "attributes": { } }, "$abc$24763$n2957_1": { "hide_name": 1, "bits": [ 1263 ], "attributes": { } }, "$abc$24763$n2958": { "hide_name": 1, "bits": [ 2343 ], "attributes": { } }, "$abc$24763$n2959_1": { "hide_name": 1, "bits": [ 1269 ], "attributes": { } }, "$abc$24763$n2960": { "hide_name": 1, "bits": [ 2345 ], "attributes": { } }, "$abc$24763$n2960_1": { "hide_name": 1, "bits": [ 1271 ], "attributes": { } }, "$abc$24763$n2961_1": { "hide_name": 1, "bits": [ 1268 ], "attributes": { } }, "$abc$24763$n2962": { "hide_name": 1, "bits": [ 2347 ], "attributes": { } }, "$abc$24763$n2962_1": { "hide_name": 1, "bits": [ 1272 ], "attributes": { } }, "$abc$24763$n2964": { "hide_name": 1, "bits": [ 2349 ], "attributes": { } }, "$abc$24763$n2964_1": { "hide_name": 1, "bits": [ 1275 ], "attributes": { } }, "$abc$24763$n2965_1": { "hide_name": 1, "bits": [ 1277 ], "attributes": { } }, "$abc$24763$n2966": { "hide_name": 1, "bits": [ 2351 ], "attributes": { } }, "$abc$24763$n2966_1": { "hide_name": 1, "bits": [ 1274 ], "attributes": { } }, "$abc$24763$n2967_1": { "hide_name": 1, "bits": [ 1278 ], "attributes": { } }, "$abc$24763$n2968": { "hide_name": 1, "bits": [ 2353 ], "attributes": { } }, "$abc$24763$n2969_1": { "hide_name": 1, "bits": [ 1281 ], "attributes": { } }, "$abc$24763$n2970": { "hide_name": 1, "bits": [ 2355 ], "attributes": { } }, "$abc$24763$n2970_1": { "hide_name": 1, "bits": [ 1283 ], "attributes": { } }, "$abc$24763$n2971": { "hide_name": 1, "bits": [ 2356 ], "attributes": { } }, "$abc$24763$n2971_1": { "hide_name": 1, "bits": [ 1280 ], "attributes": { } }, "$abc$24763$n2972": { "hide_name": 1, "bits": [ 2357 ], "attributes": { } }, "$abc$24763$n2972_1": { "hide_name": 1, "bits": [ 1284 ], "attributes": { } }, "$abc$24763$n2973": { "hide_name": 1, "bits": [ 2358 ], "attributes": { } }, "$abc$24763$n2974": { "hide_name": 1, "bits": [ 2359 ], "attributes": { } }, "$abc$24763$n2975": { "hide_name": 1, "bits": [ 2360 ], "attributes": { } }, "$abc$24763$n2975_1": { "hide_name": 1, "bits": [ 1289 ], "attributes": { } }, "$abc$24763$n2976": { "hide_name": 1, "bits": [ 2361 ], "attributes": { } }, "$abc$24763$n2976_1": { "hide_name": 1, "bits": [ 1292 ], "attributes": { } }, "$abc$24763$n2977_1": { "hide_name": 1, "bits": [ 1290 ], "attributes": { } }, "$abc$24763$n2994_1": { "hide_name": 1, "bits": [ 1321 ], "attributes": { } }, "$abc$24763$n3": { "hide_name": 1, "bits": [ 3580 ], "attributes": { } }, "$abc$24763$n3023_1": { "hide_name": 1, "bits": [ 1363 ], "attributes": { } }, "$abc$24763$n3041": { "hide_name": 1, "bits": [ 770 ], "attributes": { } }, "$abc$24763$n3042": { "hide_name": 1, "bits": [ 771 ], "attributes": { } }, "$abc$24763$n3043": { "hide_name": 1, "bits": [ 767 ], "attributes": { } }, "$abc$24763$n3044": { "hide_name": 1, "bits": [ 768 ], "attributes": { } }, "$abc$24763$n307": { "hide_name": 1, "bits": [ 260 ], "attributes": { } }, "$abc$24763$n3070": { "hide_name": 1, "bits": [ 1426 ], "attributes": { } }, "$abc$24763$n3075_1": { "hide_name": 1, "bits": [ 1436 ], "attributes": { } }, "$abc$24763$n3076": { "hide_name": 1, "bits": [ 1437 ], "attributes": { } }, "$abc$24763$n3078": { "hide_name": 1, "bits": [ 1441 ], "attributes": { } }, "$abc$24763$n3079_1": { "hide_name": 1, "bits": [ 1444 ], "attributes": { } }, "$abc$24763$n3080": { "hide_name": 1, "bits": [ 1447 ], "attributes": { } }, "$abc$24763$n3081_1": { "hide_name": 1, "bits": [ 1450 ], "attributes": { } }, "$abc$24763$n3082": { "hide_name": 1, "bits": [ 1452 ], "attributes": { } }, "$abc$24763$n3083_1": { "hide_name": 1, "bits": [ 1453 ], "attributes": { } }, "$abc$24763$n3084": { "hide_name": 1, "bits": [ 1451 ], "attributes": { } }, "$abc$24763$n3085_1": { "hide_name": 1, "bits": [ 1448 ], "attributes": { } }, "$abc$24763$n3086": { "hide_name": 1, "bits": [ 1463 ], "attributes": { } }, "$abc$24763$n3087_1": { "hide_name": 1, "bits": [ 1449 ], "attributes": { } }, "$abc$24763$n3088": { "hide_name": 1, "bits": [ 1467 ], "attributes": { } }, "$abc$24763$n3089_1": { "hide_name": 1, "bits": [ 1468 ], "attributes": { } }, "$abc$24763$n3090": { "hide_name": 1, "bits": [ 1469 ], "attributes": { } }, "$abc$24763$n3091_1": { "hide_name": 1, "bits": [ 1446 ], "attributes": { } }, "$abc$24763$n3092": { "hide_name": 1, "bits": [ 1445 ], "attributes": { } }, "$abc$24763$n3093_1": { "hide_name": 1, "bits": [ 1476 ], "attributes": { } }, "$abc$24763$n3094": { "hide_name": 1, "bits": [ 1440 ], "attributes": { } }, "$abc$24763$n3096": { "hide_name": 1, "bits": [ 1478 ], "attributes": { } }, "$abc$24763$n3097_1": { "hide_name": 1, "bits": [ 1480 ], "attributes": { } }, "$abc$24763$n3100": { "hide_name": 1, "bits": [ 1485 ], "attributes": { } }, "$abc$24763$n3101_1": { "hide_name": 1, "bits": [ 1483 ], "attributes": { } }, "$abc$24763$n3102": { "hide_name": 1, "bits": [ 1486 ], "attributes": { } }, "$abc$24763$n3103_1": { "hide_name": 1, "bits": [ 1487 ], "attributes": { } }, "$abc$24763$n3104": { "hide_name": 1, "bits": [ 1488 ], "attributes": { } }, "$abc$24763$n3105_1": { "hide_name": 1, "bits": [ 1490 ], "attributes": { } }, "$abc$24763$n3106": { "hide_name": 1, "bits": [ 1491 ], "attributes": { } }, "$abc$24763$n3107": { "hide_name": 1, "bits": [ 1492 ], "attributes": { } }, "$abc$24763$n3108_1": { "hide_name": 1, "bits": [ 1489 ], "attributes": { } }, "$abc$24763$n3109": { "hide_name": 1, "bits": [ 1499 ], "attributes": { } }, "$abc$24763$n3110_1": { "hide_name": 1, "bits": [ 1500 ], "attributes": { } }, "$abc$24763$n3111": { "hide_name": 1, "bits": [ 1501 ], "attributes": { } }, "$abc$24763$n3112_1": { "hide_name": 1, "bits": [ 1484 ], "attributes": { } }, "$abc$24763$n3114_1": { "hide_name": 1, "bits": [ 1506 ], "attributes": { } }, "$abc$24763$n3116_1": { "hide_name": 1, "bits": [ 1510 ], "attributes": { } }, "$abc$24763$n3118_1": { "hide_name": 1, "bits": [ 1514 ], "attributes": { } }, "$abc$24763$n3120_1": { "hide_name": 1, "bits": [ 1518 ], "attributes": { } }, "$abc$24763$n3122_1": { "hide_name": 1, "bits": [ 1523 ], "attributes": { } }, "$abc$24763$n3124_1": { "hide_name": 1, "bits": [ 1528 ], "attributes": { } }, "$abc$24763$n3126_1": { "hide_name": 1, "bits": [ 1533 ], "attributes": { } }, "$abc$24763$n3128_1": { "hide_name": 1, "bits": [ 1538 ], "attributes": { } }, "$abc$24763$n3130_1": { "hide_name": 1, "bits": [ 1543 ], "attributes": { } }, "$abc$24763$n3132_1": { "hide_name": 1, "bits": [ 1548 ], "attributes": { } }, "$abc$24763$n3134_1": { "hide_name": 1, "bits": [ 1553 ], "attributes": { } }, "$abc$24763$n3136_1": { "hide_name": 1, "bits": [ 1558 ], "attributes": { } }, "$abc$24763$n3138_1": { "hide_name": 1, "bits": [ 1563 ], "attributes": { } }, "$abc$24763$n3140_1": { "hide_name": 1, "bits": [ 1568 ], "attributes": { } }, "$abc$24763$n3142_1": { "hide_name": 1, "bits": [ 1572 ], "attributes": { } }, "$abc$24763$n3144_1": { "hide_name": 1, "bits": [ 1577 ], "attributes": { } }, "$abc$24763$n3146_1": { "hide_name": 1, "bits": [ 1582 ], "attributes": { } }, "$abc$24763$n3148_1": { "hide_name": 1, "bits": [ 1587 ], "attributes": { } }, "$abc$24763$n3150_1": { "hide_name": 1, "bits": [ 1592 ], "attributes": { } }, "$abc$24763$n3152_1": { "hide_name": 1, "bits": [ 1597 ], "attributes": { } }, "$abc$24763$n3154_1": { "hide_name": 1, "bits": [ 1602 ], "attributes": { } }, "$abc$24763$n3156_1": { "hide_name": 1, "bits": [ 1607 ], "attributes": { } }, "$abc$24763$n3158_1": { "hide_name": 1, "bits": [ 1612 ], "attributes": { } }, "$abc$24763$n3160_1": { "hide_name": 1, "bits": [ 1617 ], "attributes": { } }, "$abc$24763$n3162_1": { "hide_name": 1, "bits": [ 1622 ], "attributes": { } }, "$abc$24763$n3164_1": { "hide_name": 1, "bits": [ 1627 ], "attributes": { } }, "$abc$24763$n3166_1": { "hide_name": 1, "bits": [ 1632 ], "attributes": { } }, "$abc$24763$n3168_1": { "hide_name": 1, "bits": [ 1637 ], "attributes": { } }, "$abc$24763$n3170": { "hide_name": 1, "bits": [ 1642 ], "attributes": { } }, "$abc$24763$n3172": { "hide_name": 1, "bits": [ 1648 ], "attributes": { } }, "$abc$24763$n3173": { "hide_name": 1, "bits": [ 1646 ], "attributes": { } }, "$abc$24763$n3175": { "hide_name": 1, "bits": [ 3592 ], "attributes": { } }, "$abc$24763$n3177": { "hide_name": 1, "bits": [ 3593 ], "attributes": { } }, "$abc$24763$n3177_1": { "hide_name": 1, "bits": [ 1655 ], "attributes": { } }, "$abc$24763$n3178": { "hide_name": 1, "bits": [ 1652 ], "attributes": { } }, "$abc$24763$n3179": { "hide_name": 1, "bits": [ 139 ], "attributes": { } }, "$abc$24763$n3179_1": { "hide_name": 1, "bits": [ 1656 ], "attributes": { } }, "$abc$24763$n318": { "hide_name": 1, "bits": [ 293 ], "attributes": { } }, "$abc$24763$n3180": { "hide_name": 1, "bits": [ 1658 ], "attributes": { } }, "$abc$24763$n3181": { "hide_name": 1, "bits": [ 1659 ], "attributes": { } }, "$abc$24763$n3182": { "hide_name": 1, "bits": [ 1657 ], "attributes": { } }, "$abc$24763$n3183_1": { "hide_name": 1, "bits": [ 1653 ], "attributes": { } }, "$abc$24763$n3184_1": { "hide_name": 1, "bits": [ 1669 ], "attributes": { } }, "$abc$24763$n3185": { "hide_name": 1, "bits": [ 1482 ], "attributes": { } }, "$abc$24763$n3185_1": { "hide_name": 1, "bits": [ 1654 ], "attributes": { } }, "$abc$24763$n3186": { "hide_name": 1, "bits": [ 1673 ], "attributes": { } }, "$abc$24763$n3187": { "hide_name": 1, "bits": [ 1481 ], "attributes": { } }, "$abc$24763$n3187_1": { "hide_name": 1, "bits": [ 1674 ], "attributes": { } }, "$abc$24763$n3188": { "hide_name": 1, "bits": [ 1689 ], "attributes": { } }, "$abc$24763$n3188_1": { "hide_name": 1, "bits": [ 1675 ], "attributes": { } }, "$abc$24763$n3189": { "hide_name": 1, "bits": [ 1683 ], "attributes": { } }, "$abc$24763$n3190": { "hide_name": 1, "bits": [ 1684 ], "attributes": { } }, "$abc$24763$n3190_1": { "hide_name": 1, "bits": [ 1682 ], "attributes": { } }, "$abc$24763$n3197": { "hide_name": 1, "bits": [ 1687 ], "attributes": { } }, "$abc$24763$n3198": { "hide_name": 1, "bits": [ 1685 ], "attributes": { } }, "$abc$24763$n3199": { "hide_name": 1, "bits": [ 1688 ], "attributes": { } }, "$abc$24763$n3200": { "hide_name": 1, "bits": [ 1690 ], "attributes": { } }, "$abc$24763$n3201": { "hide_name": 1, "bits": [ 1691 ], "attributes": { } }, "$abc$24763$n3202": { "hide_name": 1, "bits": [ 1693 ], "attributes": { } }, "$abc$24763$n3203": { "hide_name": 1, "bits": [ 1694 ], "attributes": { } }, "$abc$24763$n3204": { "hide_name": 1, "bits": [ 1695 ], "attributes": { } }, "$abc$24763$n3205": { "hide_name": 1, "bits": [ 1692 ], "attributes": { } }, "$abc$24763$n3206": { "hide_name": 1, "bits": [ 1702 ], "attributes": { } }, "$abc$24763$n3207": { "hide_name": 1, "bits": [ 1703 ], "attributes": { } }, "$abc$24763$n3208": { "hide_name": 1, "bits": [ 1704 ], "attributes": { } }, "$abc$24763$n3209": { "hide_name": 1, "bits": [ 1686 ], "attributes": { } }, "$abc$24763$n3211": { "hide_name": 1, "bits": [ 1708 ], "attributes": { } }, "$abc$24763$n3213": { "hide_name": 1, "bits": [ 1713 ], "attributes": { } }, "$abc$24763$n3215": { "hide_name": 1, "bits": [ 1718 ], "attributes": { } }, "$abc$24763$n3217": { "hide_name": 1, "bits": [ 1723 ], "attributes": { } }, "$abc$24763$n3219": { "hide_name": 1, "bits": [ 1728 ], "attributes": { } }, "$abc$24763$n3221": { "hide_name": 1, "bits": [ 1733 ], "attributes": { } }, "$abc$24763$n3223": { "hide_name": 1, "bits": [ 1738 ], "attributes": { } }, "$abc$24763$n3225": { "hide_name": 1, "bits": [ 3594 ], "attributes": { } }, "$abc$24763$n3225_1": { "hide_name": 1, "bits": [ 1743 ], "attributes": { } }, "$abc$24763$n3226": { "hide_name": 1, "bits": [ 140 ], "attributes": { } }, "$abc$24763$n3227": { "hide_name": 1, "bits": [ 1748 ], "attributes": { } }, "$abc$24763$n3229": { "hide_name": 1, "bits": [ 1753 ], "attributes": { } }, "$abc$24763$n3231": { "hide_name": 1, "bits": [ 1758 ], "attributes": { } }, "$abc$24763$n3233": { "hide_name": 1, "bits": [ 1763 ], "attributes": { } }, "$abc$24763$n3235": { "hide_name": 1, "bits": [ 1768 ], "attributes": { } }, "$abc$24763$n3237": { "hide_name": 1, "bits": [ 1773 ], "attributes": { } }, "$abc$24763$n3239": { "hide_name": 1, "bits": [ 1778 ], "attributes": { } }, "$abc$24763$n3241": { "hide_name": 1, "bits": [ 1783 ], "attributes": { } }, "$abc$24763$n3243": { "hide_name": 1, "bits": [ 3595 ], "attributes": { } }, "$abc$24763$n3243_1": { "hide_name": 1, "bits": [ 1788 ], "attributes": { } }, "$abc$24763$n3244": { "hide_name": 1, "bits": [ 3596 ], "attributes": { } }, "$abc$24763$n3245": { "hide_name": 1, "bits": [ 3597 ], "attributes": { } }, "$abc$24763$n3245_1": { "hide_name": 1, "bits": [ 1793 ], "attributes": { } }, "$abc$24763$n3246": { "hide_name": 1, "bits": [ 3598 ], "attributes": { } }, "$abc$24763$n3247": { "hide_name": 1, "bits": [ 3599 ], "attributes": { } }, "$abc$24763$n3247_1": { "hide_name": 1, "bits": [ 1798 ], "attributes": { } }, "$abc$24763$n3248": { "hide_name": 1, "bits": [ 3600 ], "attributes": { } }, "$abc$24763$n3249": { "hide_name": 1, "bits": [ 3601 ], "attributes": { } }, "$abc$24763$n3249_1": { "hide_name": 1, "bits": [ 1803 ], "attributes": { } }, "$abc$24763$n3250": { "hide_name": 1, "bits": [ 3602 ], "attributes": { } }, "$abc$24763$n3251": { "hide_name": 1, "bits": [ 1808 ], "attributes": { } }, "$abc$24763$n3253": { "hide_name": 1, "bits": [ 1813 ], "attributes": { } }, "$abc$24763$n3255": { "hide_name": 1, "bits": [ 1818 ], "attributes": { } }, "$abc$24763$n3257": { "hide_name": 1, "bits": [ 1823 ], "attributes": { } }, "$abc$24763$n3259": { "hide_name": 1, "bits": [ 1828 ], "attributes": { } }, "$abc$24763$n3261": { "hide_name": 1, "bits": [ 1833 ], "attributes": { } }, "$abc$24763$n3263": { "hide_name": 1, "bits": [ 1838 ], "attributes": { } }, "$abc$24763$n3265": { "hide_name": 1, "bits": [ 1843 ], "attributes": { } }, "$abc$24763$n3267": { "hide_name": 1, "bits": [ 1848 ], "attributes": { } }, "$abc$24763$n3270": { "hide_name": 1, "bits": [ 1862 ], "attributes": { } }, "$abc$24763$n3271": { "hide_name": 1, "bits": [ 1858 ], "attributes": { } }, "$abc$24763$n3272": { "hide_name": 1, "bits": [ 1865 ], "attributes": { } }, "$abc$24763$n3273": { "hide_name": 1, "bits": [ 1868 ], "attributes": { } }, "$abc$24763$n3274": { "hide_name": 1, "bits": [ 1870 ], "attributes": { } }, "$abc$24763$n3275": { "hide_name": 1, "bits": [ 1874 ], "attributes": { } }, "$abc$24763$n3276": { "hide_name": 1, "bits": [ 1878 ], "attributes": { } }, "$abc$24763$n3277": { "hide_name": 1, "bits": [ 1877 ], "attributes": { } }, "$abc$24763$n3278": { "hide_name": 1, "bits": [ 1876 ], "attributes": { } }, "$abc$24763$n3279": { "hide_name": 1, "bits": [ 1873 ], "attributes": { } }, "$abc$24763$n328": { "hide_name": 1, "bits": [ 294 ], "attributes": { } }, "$abc$24763$n3280": { "hide_name": 1, "bits": [ 1886 ], "attributes": { } }, "$abc$24763$n3281": { "hide_name": 1, "bits": [ 1885 ], "attributes": { } }, "$abc$24763$n3282": { "hide_name": 1, "bits": [ 1869 ], "attributes": { } }, "$abc$24763$n3283": { "hide_name": 1, "bits": [ 1890 ], "attributes": { } }, "$abc$24763$n3284": { "hide_name": 1, "bits": [ 1892 ], "attributes": { } }, "$abc$24763$n3285": { "hide_name": 1, "bits": [ 1891 ], "attributes": { } }, "$abc$24763$n3286": { "hide_name": 1, "bits": [ 1889 ], "attributes": { } }, "$abc$24763$n3287": { "hide_name": 1, "bits": [ 1896 ], "attributes": { } }, "$abc$24763$n3288": { "hide_name": 1, "bits": [ 1895 ], "attributes": { } }, "$abc$24763$n3289": { "hide_name": 1, "bits": [ 1867 ], "attributes": { } }, "$abc$24763$n3290": { "hide_name": 1, "bits": [ 1900 ], "attributes": { } }, "$abc$24763$n3291_1": { "hide_name": 1, "bits": [ 1902 ], "attributes": { } }, "$abc$24763$n3292_1": { "hide_name": 1, "bits": [ 1904 ], "attributes": { } }, "$abc$24763$n3293_1": { "hide_name": 1, "bits": [ 1903 ], "attributes": { } }, "$abc$24763$n3294": { "hide_name": 1, "bits": [ 1901 ], "attributes": { } }, "$abc$24763$n3295": { "hide_name": 1, "bits": [ 1908 ], "attributes": { } }, "$abc$24763$n3296": { "hide_name": 1, "bits": [ 1907 ], "attributes": { } }, "$abc$24763$n3297": { "hide_name": 1, "bits": [ 1899 ], "attributes": { } }, "$abc$24763$n3298": { "hide_name": 1, "bits": [ 1912 ], "attributes": { } }, "$abc$24763$n3299": { "hide_name": 1, "bits": [ 1914 ], "attributes": { } }, "$abc$24763$n3300": { "hide_name": 1, "bits": [ 1913 ], "attributes": { } }, "$abc$24763$n3301": { "hide_name": 1, "bits": [ 1911 ], "attributes": { } }, "$abc$24763$n3302": { "hide_name": 1, "bits": [ 1918 ], "attributes": { } }, "$abc$24763$n3303": { "hide_name": 1, "bits": [ 1917 ], "attributes": { } }, "$abc$24763$n3304": { "hide_name": 1, "bits": [ 1923 ], "attributes": { } }, "$abc$24763$n3305": { "hide_name": 1, "bits": [ 1863 ], "attributes": { } }, "$abc$24763$n3306": { "hide_name": 1, "bits": [ 1924 ], "attributes": { } }, "$abc$24763$n3307": { "hide_name": 1, "bits": [ 1927 ], "attributes": { } }, "$abc$24763$n3308": { "hide_name": 1, "bits": [ 1929 ], "attributes": { } }, "$abc$24763$n3309": { "hide_name": 1, "bits": [ 1928 ], "attributes": { } }, "$abc$24763$n3310": { "hide_name": 1, "bits": [ 1926 ], "attributes": { } }, "$abc$24763$n3311": { "hide_name": 1, "bits": [ 1931 ], "attributes": { } }, "$abc$24763$n3312": { "hide_name": 1, "bits": [ 1930 ], "attributes": { } }, "$abc$24763$n3313": { "hide_name": 1, "bits": [ 1925 ], "attributes": { } }, "$abc$24763$n3314": { "hide_name": 1, "bits": [ 1933 ], "attributes": { } }, "$abc$24763$n3315": { "hide_name": 1, "bits": [ 1935 ], "attributes": { } }, "$abc$24763$n3316": { "hide_name": 1, "bits": [ 1934 ], "attributes": { } }, "$abc$24763$n3317": { "hide_name": 1, "bits": [ 1932 ], "attributes": { } }, "$abc$24763$n3318": { "hide_name": 1, "bits": [ 1937 ], "attributes": { } }, "$abc$24763$n3319": { "hide_name": 1, "bits": [ 1936 ], "attributes": { } }, "$abc$24763$n3320": { "hide_name": 1, "bits": [ 1938 ], "attributes": { } }, "$abc$24763$n3321": { "hide_name": 1, "bits": [ 1864 ], "attributes": { } }, "$abc$24763$n3322": { "hide_name": 1, "bits": [ 1939 ], "attributes": { } }, "$abc$24763$n3323": { "hide_name": 1, "bits": [ 1940 ], "attributes": { } }, "$abc$24763$n3324": { "hide_name": 1, "bits": [ 1866 ], "attributes": { } }, "$abc$24763$n3325": { "hide_name": 1, "bits": [ 1941 ], "attributes": { } }, "$abc$24763$n3326_1": { "hide_name": 1, "bits": [ 1944 ], "attributes": { } }, "$abc$24763$n3327_1": { "hide_name": 1, "bits": [ 1943 ], "attributes": { } }, "$abc$24763$n3328_1": { "hide_name": 1, "bits": [ 1942 ], "attributes": { } }, "$abc$24763$n3329_1": { "hide_name": 1, "bits": [ 1945 ], "attributes": { } }, "$abc$24763$n3330_1": { "hide_name": 1, "bits": [ 1859 ], "attributes": { } }, "$abc$24763$n3331_1": { "hide_name": 1, "bits": [ 1946 ], "attributes": { } }, "$abc$24763$n3332_1": { "hide_name": 1, "bits": [ 1951 ], "attributes": { } }, "$abc$24763$n3333_1": { "hide_name": 1, "bits": [ 1950 ], "attributes": { } }, "$abc$24763$n3334_1": { "hide_name": 1, "bits": [ 1953 ], "attributes": { } }, "$abc$24763$n3335_1": { "hide_name": 1, "bits": [ 1952 ], "attributes": { } }, "$abc$24763$n3336_1": { "hide_name": 1, "bits": [ 1947 ], "attributes": { } }, "$abc$24763$n3337_1": { "hide_name": 1, "bits": [ 1954 ], "attributes": { } }, "$abc$24763$n3338_1": { "hide_name": 1, "bits": [ 1956 ], "attributes": { } }, "$abc$24763$n3339_1": { "hide_name": 1, "bits": [ 1955 ], "attributes": { } }, "$abc$24763$n3340_1": { "hide_name": 1, "bits": [ 1948 ], "attributes": { } }, "$abc$24763$n3341_1": { "hide_name": 1, "bits": [ 1957 ], "attributes": { } }, "$abc$24763$n3342": { "hide_name": 1, "bits": [ 1960 ], "attributes": { } }, "$abc$24763$n3343": { "hide_name": 1, "bits": [ 1959 ], "attributes": { } }, "$abc$24763$n3344": { "hide_name": 1, "bits": [ 1958 ], "attributes": { } }, "$abc$24763$n3345": { "hide_name": 1, "bits": [ 1962 ], "attributes": { } }, "$abc$24763$n3346": { "hide_name": 1, "bits": [ 1961 ], "attributes": { } }, "$abc$24763$n3347": { "hide_name": 1, "bits": [ 1949 ], "attributes": { } }, "$abc$24763$n3348": { "hide_name": 1, "bits": [ 1963 ], "attributes": { } }, "$abc$24763$n3349": { "hide_name": 1, "bits": [ 1964 ], "attributes": { } }, "$abc$24763$n335": { "hide_name": 1, "bits": [ 295 ], "attributes": { } }, "$abc$24763$n3350": { "hide_name": 1, "bits": [ 1967 ], "attributes": { } }, "$abc$24763$n3351": { "hide_name": 1, "bits": [ 1965 ], "attributes": { } }, "$abc$24763$n3352": { "hide_name": 1, "bits": [ 1968 ], "attributes": { } }, "$abc$24763$n3353": { "hide_name": 1, "bits": [ 1966 ], "attributes": { } }, "$abc$24763$n3354": { "hide_name": 1, "bits": [ 1860 ], "attributes": { } }, "$abc$24763$n3355": { "hide_name": 1, "bits": [ 1969 ], "attributes": { } }, "$abc$24763$n3356": { "hide_name": 1, "bits": [ 1972 ], "attributes": { } }, "$abc$24763$n3357": { "hide_name": 1, "bits": [ 1973 ], "attributes": { } }, "$abc$24763$n3361": { "hide_name": 1, "bits": [ 1974 ], "attributes": { } }, "$abc$24763$n3362": { "hide_name": 1, "bits": [ 1971 ], "attributes": { } }, "$abc$24763$n3363": { "hide_name": 1, "bits": [ 1975 ], "attributes": { } }, "$abc$24763$n3364": { "hide_name": 1, "bits": [ 1976 ], "attributes": { } }, "$abc$24763$n3365": { "hide_name": 1, "bits": [ 1977 ], "attributes": { } }, "$abc$24763$n3366": { "hide_name": 1, "bits": [ 1978 ], "attributes": { } }, "$abc$24763$n3367": { "hide_name": 1, "bits": [ 1861 ], "attributes": { } }, "$abc$24763$n3368": { "hide_name": 1, "bits": [ 1982 ], "attributes": { } }, "$abc$24763$n3369": { "hide_name": 1, "bits": [ 1979 ], "attributes": { } }, "$abc$24763$n3370": { "hide_name": 1, "bits": [ 1984 ], "attributes": { } }, "$abc$24763$n3371": { "hide_name": 1, "bits": [ 1986 ], "attributes": { } }, "$abc$24763$n3372": { "hide_name": 1, "bits": [ 1987 ], "attributes": { } }, "$abc$24763$n3373": { "hide_name": 1, "bits": [ 1989 ], "attributes": { } }, "$abc$24763$n3374_1": { "hide_name": 1, "bits": [ 1991 ], "attributes": { } }, "$abc$24763$n3375_1": { "hide_name": 1, "bits": [ 1990 ], "attributes": { } }, "$abc$24763$n3376_1": { "hide_name": 1, "bits": [ 1988 ], "attributes": { } }, "$abc$24763$n3377_1": { "hide_name": 1, "bits": [ 1994 ], "attributes": { } }, "$abc$24763$n3378_1": { "hide_name": 1, "bits": [ 1985 ], "attributes": { } }, "$abc$24763$n3379_1": { "hide_name": 1, "bits": [ 1996 ], "attributes": { } }, "$abc$24763$n3380_1": { "hide_name": 1, "bits": [ 1997 ], "attributes": { } }, "$abc$24763$n3381_1": { "hide_name": 1, "bits": [ 1999 ], "attributes": { } }, "$abc$24763$n3382_1": { "hide_name": 1, "bits": [ 2001 ], "attributes": { } }, "$abc$24763$n3383_1": { "hide_name": 1, "bits": [ 2002 ], "attributes": { } }, "$abc$24763$n3384_1": { "hide_name": 1, "bits": [ 2000 ], "attributes": { } }, "$abc$24763$n3385_1": { "hide_name": 1, "bits": [ 1998 ], "attributes": { } }, "$abc$24763$n3386_1": { "hide_name": 1, "bits": [ 2005 ], "attributes": { } }, "$abc$24763$n3387_1": { "hide_name": 1, "bits": [ 2007 ], "attributes": { } }, "$abc$24763$n3388_1": { "hide_name": 1, "bits": [ 2006 ], "attributes": { } }, "$abc$24763$n3389_1": { "hide_name": 1, "bits": [ 2004 ], "attributes": { } }, "$abc$24763$n3390_1": { "hide_name": 1, "bits": [ 2011 ], "attributes": { } }, "$abc$24763$n3391_1": { "hide_name": 1, "bits": [ 2010 ], "attributes": { } }, "$abc$24763$n3392_1": { "hide_name": 1, "bits": [ 1983 ], "attributes": { } }, "$abc$24763$n3393_1": { "hide_name": 1, "bits": [ 1980 ], "attributes": { } }, "$abc$24763$n3394_1": { "hide_name": 1, "bits": [ 2014 ], "attributes": { } }, "$abc$24763$n3395_1": { "hide_name": 1, "bits": [ 2016 ], "attributes": { } }, "$abc$24763$n3396_1": { "hide_name": 1, "bits": [ 2019 ], "attributes": { } }, "$abc$24763$n3397_1": { "hide_name": 1, "bits": [ 2018 ], "attributes": { } }, "$abc$24763$n3398_1": { "hide_name": 1, "bits": [ 2017 ], "attributes": { } }, "$abc$24763$n3399_1": { "hide_name": 1, "bits": [ 2015 ], "attributes": { } }, "$abc$24763$n3400_1": { "hide_name": 1, "bits": [ 2022 ], "attributes": { } }, "$abc$24763$n3401_1": { "hide_name": 1, "bits": [ 2020 ], "attributes": { } }, "$abc$24763$n3402_1": { "hide_name": 1, "bits": [ 2021 ], "attributes": { } }, "$abc$24763$n3403_1": { "hide_name": 1, "bits": [ 2023 ], "attributes": { } }, "$abc$24763$n3404_1": { "hide_name": 1, "bits": [ 1981 ], "attributes": { } }, "$abc$24763$n3405_1": { "hide_name": 1, "bits": [ 2027 ], "attributes": { } }, "$abc$24763$n3406": { "hide_name": 1, "bits": [ 2028 ], "attributes": { } }, "$abc$24763$n3407": { "hide_name": 1, "bits": [ 2364 ], "attributes": { } }, "$abc$24763$n3407_1": { "hide_name": 1, "bits": [ 2029 ], "attributes": { } }, "$abc$24763$n3408": { "hide_name": 1, "bits": [ 2030 ], "attributes": { } }, "$abc$24763$n3409": { "hide_name": 1, "bits": [ 2365 ], "attributes": { } }, "$abc$24763$n3409_1": { "hide_name": 1, "bits": [ 2024 ], "attributes": { } }, "$abc$24763$n341": { "hide_name": 1, "bits": [ 296 ], "attributes": { } }, "$abc$24763$n3410": { "hide_name": 1, "bits": [ 2031 ], "attributes": { } }, "$abc$24763$n3411": { "hide_name": 1, "bits": [ 2366 ], "attributes": { } }, "$abc$24763$n3411_1": { "hide_name": 1, "bits": [ 2033 ], "attributes": { } }, "$abc$24763$n3412": { "hide_name": 1, "bits": [ 2032 ], "attributes": { } }, "$abc$24763$n3413": { "hide_name": 1, "bits": [ 2367 ], "attributes": { } }, "$abc$24763$n3413_1": { "hide_name": 1, "bits": [ 2025 ], "attributes": { } }, "$abc$24763$n3414": { "hide_name": 1, "bits": [ 2034 ], "attributes": { } }, "$abc$24763$n3415": { "hide_name": 1, "bits": [ 2368 ], "attributes": { } }, "$abc$24763$n3415_1": { "hide_name": 1, "bits": [ 2035 ], "attributes": { } }, "$abc$24763$n3416": { "hide_name": 1, "bits": [ 2036 ], "attributes": { } }, "$abc$24763$n3417": { "hide_name": 1, "bits": [ 2369 ], "attributes": { } }, "$abc$24763$n3417_1": { "hide_name": 1, "bits": [ 2037 ], "attributes": { } }, "$abc$24763$n3418": { "hide_name": 1, "bits": [ 2026 ], "attributes": { } }, "$abc$24763$n3419": { "hide_name": 1, "bits": [ 2370 ], "attributes": { } }, "$abc$24763$n3419_1": { "hide_name": 1, "bits": [ 2038 ], "attributes": { } }, "$abc$24763$n3420": { "hide_name": 1, "bits": [ 2040 ], "attributes": { } }, "$abc$24763$n3421": { "hide_name": 1, "bits": [ 2371 ], "attributes": { } }, "$abc$24763$n3421_1": { "hide_name": 1, "bits": [ 2041 ], "attributes": { } }, "$abc$24763$n3422": { "hide_name": 1, "bits": [ 2039 ], "attributes": { } }, "$abc$24763$n3423": { "hide_name": 1, "bits": [ 2372 ], "attributes": { } }, "$abc$24763$n3423_1": { "hide_name": 1, "bits": [ 2045 ], "attributes": { } }, "$abc$24763$n3424": { "hide_name": 1, "bits": [ 2042 ], "attributes": { } }, "$abc$24763$n3425": { "hide_name": 1, "bits": [ 2373 ], "attributes": { } }, "$abc$24763$n3425_1": { "hide_name": 1, "bits": [ 2043 ], "attributes": { } }, "$abc$24763$n3426": { "hide_name": 1, "bits": [ 2046 ], "attributes": { } }, "$abc$24763$n3427": { "hide_name": 1, "bits": [ 2374 ], "attributes": { } }, "$abc$24763$n3427_1": { "hide_name": 1, "bits": [ 2047 ], "attributes": { } }, "$abc$24763$n3428": { "hide_name": 1, "bits": [ 2044 ], "attributes": { } }, "$abc$24763$n3429": { "hide_name": 1, "bits": [ 2375 ], "attributes": { } }, "$abc$24763$n3429_1": { "hide_name": 1, "bits": [ 2048 ], "attributes": { } }, "$abc$24763$n3431": { "hide_name": 1, "bits": [ 2376 ], "attributes": { } }, "$abc$24763$n3433": { "hide_name": 1, "bits": [ 2377 ], "attributes": { } }, "$abc$24763$n3434": { "hide_name": 1, "bits": [ 2050 ], "attributes": { } }, "$abc$24763$n3435": { "hide_name": 1, "bits": [ 2378 ], "attributes": { } }, "$abc$24763$n3437": { "hide_name": 1, "bits": [ 2379 ], "attributes": { } }, "$abc$24763$n3437_1": { "hide_name": 1, "bits": [ 2049 ], "attributes": { } }, "$abc$24763$n3438": { "hide_name": 1, "bits": [ 2052 ], "attributes": { } }, "$abc$24763$n3439": { "hide_name": 1, "bits": [ 2051 ], "attributes": { } }, "$abc$24763$n3440": { "hide_name": 1, "bits": [ 1853 ], "attributes": { } }, "$abc$24763$n3441": { "hide_name": 1, "bits": [ 2054 ], "attributes": { } }, "$abc$24763$n3442": { "hide_name": 1, "bits": [ 2056 ], "attributes": { } }, "$abc$24763$n3443": { "hide_name": 1, "bits": [ 2058 ], "attributes": { } }, "$abc$24763$n3444": { "hide_name": 1, "bits": [ 2060 ], "attributes": { } }, "$abc$24763$n3445": { "hide_name": 1, "bits": [ 2059 ], "attributes": { } }, "$abc$24763$n3446": { "hide_name": 1, "bits": [ 2057 ], "attributes": { } }, "$abc$24763$n3447": { "hide_name": 1, "bits": [ 2063 ], "attributes": { } }, "$abc$24763$n3448": { "hide_name": 1, "bits": [ 2055 ], "attributes": { } }, "$abc$24763$n3449": { "hide_name": 1, "bits": [ 2066 ], "attributes": { } }, "$abc$24763$n3450": { "hide_name": 1, "bits": [ 2065 ], "attributes": { } }, "$abc$24763$n3451": { "hide_name": 1, "bits": [ 2053 ], "attributes": { } }, "$abc$24763$n3452": { "hide_name": 1, "bits": [ 1854 ], "attributes": { } }, "$abc$24763$n3456": { "hide_name": 1, "bits": [ 2070 ], "attributes": { } }, "$abc$24763$n3457": { "hide_name": 1, "bits": [ 2069 ], "attributes": { } }, "$abc$24763$n3458": { "hide_name": 1, "bits": [ 2072 ], "attributes": { } }, "$abc$24763$n3459": { "hide_name": 1, "bits": [ 2073 ], "attributes": { } }, "$abc$24763$n346": { "hide_name": 1, "bits": [ 299 ], "attributes": { } }, "$abc$24763$n3460": { "hide_name": 1, "bits": [ 2071 ], "attributes": { } }, "$abc$24763$n3461": { "hide_name": 1, "bits": [ 2068 ], "attributes": { } }, "$abc$24763$n3462": { "hide_name": 1, "bits": [ 2074 ], "attributes": { } }, "$abc$24763$n3463": { "hide_name": 1, "bits": [ 2075 ], "attributes": { } }, "$abc$24763$n3465": { "hide_name": 1, "bits": [ 2076 ], "attributes": { } }, "$abc$24763$n3466": { "hide_name": 1, "bits": [ 2080 ], "attributes": { } }, "$abc$24763$n3467": { "hide_name": 1, "bits": [ 2082 ], "attributes": { } }, "$abc$24763$n3468": { "hide_name": 1, "bits": [ 2081 ], "attributes": { } }, "$abc$24763$n3469": { "hide_name": 1, "bits": [ 2079 ], "attributes": { } }, "$abc$24763$n3470_1": { "hide_name": 1, "bits": [ 2077 ], "attributes": { } }, "$abc$24763$n3472_1": { "hide_name": 1, "bits": [ 2083 ], "attributes": { } }, "$abc$24763$n3473_1": { "hide_name": 1, "bits": [ 2085 ], "attributes": { } }, "$abc$24763$n3474_1": { "hide_name": 1, "bits": [ 2088 ], "attributes": { } }, "$abc$24763$n3475_1": { "hide_name": 1, "bits": [ 2086 ], "attributes": { } }, "$abc$24763$n3476": { "hide_name": 1, "bits": [ 2087 ], "attributes": { } }, "$abc$24763$n3478": { "hide_name": 1, "bits": [ 2089 ], "attributes": { } }, "$abc$24763$n3479": { "hide_name": 1, "bits": [ 2092 ], "attributes": { } }, "$abc$24763$n3480": { "hide_name": 1, "bits": [ 2090 ], "attributes": { } }, "$abc$24763$n3484": { "hide_name": 1, "bits": [ 2093 ], "attributes": { } }, "$abc$24763$n3485": { "hide_name": 1, "bits": [ 2094 ], "attributes": { } }, "$abc$24763$n3488": { "hide_name": 1, "bits": [ 2095 ], "attributes": { } }, "$abc$24763$n3490": { "hide_name": 1, "bits": [ 2096 ], "attributes": { } }, "$abc$24763$n3492": { "hide_name": 1, "bits": [ 2099 ], "attributes": { } }, "$abc$24763$n3493": { "hide_name": 1, "bits": [ 2102 ], "attributes": { } }, "$abc$24763$n3494": { "hide_name": 1, "bits": [ 2105 ], "attributes": { } }, "$abc$24763$n3495": { "hide_name": 1, "bits": [ 2108 ], "attributes": { } }, "$abc$24763$n3496": { "hide_name": 1, "bits": [ 2114 ], "attributes": { } }, "$abc$24763$n3497": { "hide_name": 1, "bits": [ 2116 ], "attributes": { } }, "$abc$24763$n3498": { "hide_name": 1, "bits": [ 2118 ], "attributes": { } }, "$abc$24763$n3499": { "hide_name": 1, "bits": [ 2121 ], "attributes": { } }, "$abc$24763$n3500": { "hide_name": 1, "bits": [ 2120 ], "attributes": { } }, "$abc$24763$n3501": { "hide_name": 1, "bits": [ 2119 ], "attributes": { } }, "$abc$24763$n3502": { "hide_name": 1, "bits": [ 2117 ], "attributes": { } }, "$abc$24763$n3503": { "hide_name": 1, "bits": [ 2125 ], "attributes": { } }, "$abc$24763$n3504": { "hide_name": 1, "bits": [ 2124 ], "attributes": { } }, "$abc$24763$n3505": { "hide_name": 1, "bits": [ 2115 ], "attributes": { } }, "$abc$24763$n3506": { "hide_name": 1, "bits": [ 2129 ], "attributes": { } }, "$abc$24763$n3507": { "hide_name": 1, "bits": [ 2131 ], "attributes": { } }, "$abc$24763$n3508": { "hide_name": 1, "bits": [ 2130 ], "attributes": { } }, "$abc$24763$n3509": { "hide_name": 1, "bits": [ 2128 ], "attributes": { } }, "$abc$24763$n351": { "hide_name": 1, "bits": [ 302 ], "attributes": { } }, "$abc$24763$n3510": { "hide_name": 1, "bits": [ 2135 ], "attributes": { } }, "$abc$24763$n3511": { "hide_name": 1, "bits": [ 2134 ], "attributes": { } }, "$abc$24763$n3512": { "hide_name": 1, "bits": [ 2112 ], "attributes": { } }, "$abc$24763$n3513": { "hide_name": 1, "bits": [ 2139 ], "attributes": { } }, "$abc$24763$n3514": { "hide_name": 1, "bits": [ 2141 ], "attributes": { } }, "$abc$24763$n3515": { "hide_name": 1, "bits": [ 2140 ], "attributes": { } }, "$abc$24763$n3516": { "hide_name": 1, "bits": [ 2138 ], "attributes": { } }, "$abc$24763$n3517": { "hide_name": 1, "bits": [ 2145 ], "attributes": { } }, "$abc$24763$n3518": { "hide_name": 1, "bits": [ 2144 ], "attributes": { } }, "$abc$24763$n3519": { "hide_name": 1, "bits": [ 2113 ], "attributes": { } }, "$abc$24763$n3520": { "hide_name": 1, "bits": [ 2148 ], "attributes": { } }, "$abc$24763$n3521": { "hide_name": 1, "bits": [ 2109 ], "attributes": { } }, "$abc$24763$n3522": { "hide_name": 1, "bits": [ 2151 ], "attributes": { } }, "$abc$24763$n3523": { "hide_name": 1, "bits": [ 2153 ], "attributes": { } }, "$abc$24763$n3524": { "hide_name": 1, "bits": [ 2152 ], "attributes": { } }, "$abc$24763$n3525_1": { "hide_name": 1, "bits": [ 2150 ], "attributes": { } }, "$abc$24763$n3526": { "hide_name": 1, "bits": [ 2155 ], "attributes": { } }, "$abc$24763$n3527": { "hide_name": 1, "bits": [ 2154 ], "attributes": { } }, "$abc$24763$n3528_1": { "hide_name": 1, "bits": [ 2156 ], "attributes": { } }, "$abc$24763$n3529": { "hide_name": 1, "bits": [ 2158 ], "attributes": { } }, "$abc$24763$n353": { "hide_name": 1, "bits": [ 300 ], "attributes": { } }, "$abc$24763$n3530": { "hide_name": 1, "bits": [ 2157 ], "attributes": { } }, "$abc$24763$n3531_1": { "hide_name": 1, "bits": [ 2110 ], "attributes": { } }, "$abc$24763$n3534_1": { "hide_name": 1, "bits": [ 2164 ], "attributes": { } }, "$abc$24763$n3535": { "hide_name": 1, "bits": [ 2165 ], "attributes": { } }, "$abc$24763$n3537_1": { "hide_name": 1, "bits": [ 2166 ], "attributes": { } }, "$abc$24763$n3538": { "hide_name": 1, "bits": [ 2167 ], "attributes": { } }, "$abc$24763$n3539": { "hide_name": 1, "bits": [ 2161 ], "attributes": { } }, "$abc$24763$n3540_1": { "hide_name": 1, "bits": [ 2169 ], "attributes": { } }, "$abc$24763$n3541": { "hide_name": 1, "bits": [ 2168 ], "attributes": { } }, "$abc$24763$n3542": { "hide_name": 1, "bits": [ 2162 ], "attributes": { } }, "$abc$24763$n3543_1": { "hide_name": 1, "bits": [ 2170 ], "attributes": { } }, "$abc$24763$n3544": { "hide_name": 1, "bits": [ 2171 ], "attributes": { } }, "$abc$24763$n3545": { "hide_name": 1, "bits": [ 2111 ], "attributes": { } }, "$abc$24763$n3546_1": { "hide_name": 1, "bits": [ 2106 ], "attributes": { } }, "$abc$24763$n3547": { "hide_name": 1, "bits": [ 2172 ], "attributes": { } }, "$abc$24763$n3548": { "hide_name": 1, "bits": [ 2173 ], "attributes": { } }, "$abc$24763$n3549_1": { "hide_name": 1, "bits": [ 2177 ], "attributes": { } }, "$abc$24763$n3550": { "hide_name": 1, "bits": [ 2178 ], "attributes": { } }, "$abc$24763$n3551": { "hide_name": 1, "bits": [ 2176 ], "attributes": { } }, "$abc$24763$n3552_1": { "hide_name": 1, "bits": [ 2180 ], "attributes": { } }, "$abc$24763$n3553": { "hide_name": 1, "bits": [ 2179 ], "attributes": { } }, "$abc$24763$n3554": { "hide_name": 1, "bits": [ 2174 ], "attributes": { } }, "$abc$24763$n3555_1": { "hide_name": 1, "bits": [ 2181 ], "attributes": { } }, "$abc$24763$n3556": { "hide_name": 1, "bits": [ 2175 ], "attributes": { } }, "$abc$24763$n3557": { "hide_name": 1, "bits": [ 2184 ], "attributes": { } }, "$abc$24763$n3558_1": { "hide_name": 1, "bits": [ 2182 ], "attributes": { } }, "$abc$24763$n3559": { "hide_name": 1, "bits": [ 2183 ], "attributes": { } }, "$abc$24763$n3560": { "hide_name": 1, "bits": [ 2107 ], "attributes": { } }, "$abc$24763$n3561_1": { "hide_name": 1, "bits": [ 2185 ], "attributes": { } }, "$abc$24763$n3562": { "hide_name": 1, "bits": [ 2189 ], "attributes": { } }, "$abc$24763$n3563": { "hide_name": 1, "bits": [ 2186 ], "attributes": { } }, "$abc$24763$n3564_1": { "hide_name": 1, "bits": [ 2190 ], "attributes": { } }, "$abc$24763$n3565": { "hide_name": 1, "bits": [ 2187 ], "attributes": { } }, "$abc$24763$n3566": { "hide_name": 1, "bits": [ 2191 ], "attributes": { } }, "$abc$24763$n3567_1": { "hide_name": 1, "bits": [ 2192 ], "attributes": { } }, "$abc$24763$n3568": { "hide_name": 1, "bits": [ 2193 ], "attributes": { } }, "$abc$24763$n3569": { "hide_name": 1, "bits": [ 2196 ], "attributes": { } }, "$abc$24763$n3570_1": { "hide_name": 1, "bits": [ 2195 ], "attributes": { } }, "$abc$24763$n3571": { "hide_name": 1, "bits": [ 2197 ], "attributes": { } }, "$abc$24763$n3572_1": { "hide_name": 1, "bits": [ 2194 ], "attributes": { } }, "$abc$24763$n3573_1": { "hide_name": 1, "bits": [ 2188 ], "attributes": { } }, "$abc$24763$n3574_1": { "hide_name": 1, "bits": [ 2103 ], "attributes": { } }, "$abc$24763$n3575_1": { "hide_name": 1, "bits": [ 2198 ], "attributes": { } }, "$abc$24763$n3576_1": { "hide_name": 1, "bits": [ 2202 ], "attributes": { } }, "$abc$24763$n3577_1": { "hide_name": 1, "bits": [ 2204 ], "attributes": { } }, "$abc$24763$n3578_1": { "hide_name": 1, "bits": [ 2206 ], "attributes": { } }, "$abc$24763$n3579_1": { "hide_name": 1, "bits": [ 2208 ], "attributes": { } }, "$abc$24763$n358": { "hide_name": 1, "bits": [ 308 ], "attributes": { } }, "$abc$24763$n3580_1": { "hide_name": 1, "bits": [ 2210 ], "attributes": { } }, "$abc$24763$n3581_1": { "hide_name": 1, "bits": [ 2209 ], "attributes": { } }, "$abc$24763$n3582_1": { "hide_name": 1, "bits": [ 2207 ], "attributes": { } }, "$abc$24763$n3583_1": { "hide_name": 1, "bits": [ 2214 ], "attributes": { } }, "$abc$24763$n3584_1": { "hide_name": 1, "bits": [ 2213 ], "attributes": { } }, "$abc$24763$n3585_1": { "hide_name": 1, "bits": [ 2205 ], "attributes": { } }, "$abc$24763$n3586_1": { "hide_name": 1, "bits": [ 2218 ], "attributes": { } }, "$abc$24763$n3587_1": { "hide_name": 1, "bits": [ 2220 ], "attributes": { } }, "$abc$24763$n3588_1": { "hide_name": 1, "bits": [ 2219 ], "attributes": { } }, "$abc$24763$n3589_1": { "hide_name": 1, "bits": [ 2217 ], "attributes": { } }, "$abc$24763$n3590_1": { "hide_name": 1, "bits": [ 2224 ], "attributes": { } }, "$abc$24763$n3591_1": { "hide_name": 1, "bits": [ 2223 ], "attributes": { } }, "$abc$24763$n3592_1": { "hide_name": 1, "bits": [ 2203 ], "attributes": { } }, "$abc$24763$n3593_1": { "hide_name": 1, "bits": [ 2199 ], "attributes": { } }, "$abc$24763$n3594_1": { "hide_name": 1, "bits": [ 2227 ], "attributes": { } }, "$abc$24763$n3595_1": { "hide_name": 1, "bits": [ 2229 ], "attributes": { } }, "$abc$24763$n3596_1": { "hide_name": 1, "bits": [ 2228 ], "attributes": { } }, "$abc$24763$n3597_1": { "hide_name": 1, "bits": [ 2230 ], "attributes": { } }, "$abc$24763$n3598_1": { "hide_name": 1, "bits": [ 2200 ], "attributes": { } }, "$abc$24763$n3599_1": { "hide_name": 1, "bits": [ 2232 ], "attributes": { } }, "$abc$24763$n3600_1": { "hide_name": 1, "bits": [ 2235 ], "attributes": { } }, "$abc$24763$n3601_1": { "hide_name": 1, "bits": [ 2234 ], "attributes": { } }, "$abc$24763$n3602_1": { "hide_name": 1, "bits": [ 2238 ], "attributes": { } }, "$abc$24763$n3603_1": { "hide_name": 1, "bits": [ 2240 ], "attributes": { } }, "$abc$24763$n3604": { "hide_name": 1, "bits": [ 2239 ], "attributes": { } }, "$abc$24763$n3605": { "hide_name": 1, "bits": [ 2237 ], "attributes": { } }, "$abc$24763$n3606": { "hide_name": 1, "bits": [ 2236 ], "attributes": { } }, "$abc$24763$n3607": { "hide_name": 1, "bits": [ 2231 ], "attributes": { } }, "$abc$24763$n3608": { "hide_name": 1, "bits": [ 2241 ], "attributes": { } }, "$abc$24763$n3609": { "hide_name": 1, "bits": [ 2243 ], "attributes": { } }, "$abc$24763$n3610": { "hide_name": 1, "bits": [ 2242 ], "attributes": { } }, "$abc$24763$n3611": { "hide_name": 1, "bits": [ 2245 ], "attributes": { } }, "$abc$24763$n3612": { "hide_name": 1, "bits": [ 2244 ], "attributes": { } }, "$abc$24763$n3613": { "hide_name": 1, "bits": [ 2233 ], "attributes": { } }, "$abc$24763$n3614": { "hide_name": 1, "bits": [ 2201 ], "attributes": { } }, "$abc$24763$n3615": { "hide_name": 1, "bits": [ 2246 ], "attributes": { } }, "$abc$24763$n3616": { "hide_name": 1, "bits": [ 2247 ], "attributes": { } }, "$abc$24763$n3617": { "hide_name": 1, "bits": [ 2249 ], "attributes": { } }, "$abc$24763$n3618": { "hide_name": 1, "bits": [ 2251 ], "attributes": { } }, "$abc$24763$n3619": { "hide_name": 1, "bits": [ 2250 ], "attributes": { } }, "$abc$24763$n362": { "hide_name": 1, "bits": [ 312 ], "attributes": { } }, "$abc$24763$n3620": { "hide_name": 1, "bits": [ 2248 ], "attributes": { } }, "$abc$24763$n3621": { "hide_name": 1, "bits": [ 2252 ], "attributes": { } }, "$abc$24763$n3622": { "hide_name": 1, "bits": [ 2104 ], "attributes": { } }, "$abc$24763$n3623": { "hide_name": 1, "bits": [ 2253 ], "attributes": { } }, "$abc$24763$n3624": { "hide_name": 1, "bits": [ 2256 ], "attributes": { } }, "$abc$24763$n3625": { "hide_name": 1, "bits": [ 2257 ], "attributes": { } }, "$abc$24763$n3626": { "hide_name": 1, "bits": [ 2254 ], "attributes": { } }, "$abc$24763$n3627": { "hide_name": 1, "bits": [ 2258 ], "attributes": { } }, "$abc$24763$n3628": { "hide_name": 1, "bits": [ 2259 ], "attributes": { } }, "$abc$24763$n3629": { "hide_name": 1, "bits": [ 2260 ], "attributes": { } }, "$abc$24763$n3630": { "hide_name": 1, "bits": [ 2261 ], "attributes": { } }, "$abc$24763$n3631": { "hide_name": 1, "bits": [ 2262 ], "attributes": { } }, "$abc$24763$n3632": { "hide_name": 1, "bits": [ 2255 ], "attributes": { } }, "$abc$24763$n3633": { "hide_name": 1, "bits": [ 2264 ], "attributes": { } }, "$abc$24763$n3634": { "hide_name": 1, "bits": [ 2265 ], "attributes": { } }, "$abc$24763$n3635": { "hide_name": 1, "bits": [ 2266 ], "attributes": { } }, "$abc$24763$n3637": { "hide_name": 1, "bits": [ 2270 ], "attributes": { } }, "$abc$24763$n3638": { "hide_name": 1, "bits": [ 2267 ], "attributes": { } }, "$abc$24763$n3639": { "hide_name": 1, "bits": [ 2268 ], "attributes": { } }, "$abc$24763$n3640": { "hide_name": 1, "bits": [ 2271 ], "attributes": { } }, "$abc$24763$n3641": { "hide_name": 1, "bits": [ 2269 ], "attributes": { } }, "$abc$24763$n3645": { "hide_name": 1, "bits": [ 2272 ], "attributes": { } }, "$abc$24763$n3647": { "hide_name": 1, "bits": [ 2273 ], "attributes": { } }, "$abc$24763$n3648": { "hide_name": 1, "bits": [ 2098 ], "attributes": { } }, "$abc$24763$n3649": { "hide_name": 1, "bits": [ 2274 ], "attributes": { } }, "$abc$24763$n3650": { "hide_name": 1, "bits": [ 2275 ], "attributes": { } }, "$abc$24763$n3651": { "hide_name": 1, "bits": [ 2277 ], "attributes": { } }, "$abc$24763$n3652": { "hide_name": 1, "bits": [ 2279 ], "attributes": { } }, "$abc$24763$n3653_1": { "hide_name": 1, "bits": [ 2281 ], "attributes": { } }, "$abc$24763$n3654": { "hide_name": 1, "bits": [ 2280 ], "attributes": { } }, "$abc$24763$n3655": { "hide_name": 1, "bits": [ 2278 ], "attributes": { } }, "$abc$24763$n3656_1": { "hide_name": 1, "bits": [ 2284 ], "attributes": { } }, "$abc$24763$n3657": { "hide_name": 1, "bits": [ 2276 ], "attributes": { } }, "$abc$24763$n3658": { "hide_name": 1, "bits": [ 2287 ], "attributes": { } }, "$abc$24763$n3659_1": { "hide_name": 1, "bits": [ 2286 ], "attributes": { } }, "$abc$24763$n3664": { "hide_name": 1, "bits": [ 2290 ], "attributes": { } }, "$abc$24763$n3665_1": { "hide_name": 1, "bits": [ 2289 ], "attributes": { } }, "$abc$24763$n3667": { "hide_name": 1, "bits": [ 2292 ], "attributes": { } }, "$abc$24763$n3668_1": { "hide_name": 1, "bits": [ 2291 ], "attributes": { } }, "$abc$24763$n367": { "hide_name": 1, "bits": [ 314 ], "attributes": { } }, "$abc$24763$n3670": { "hide_name": 1, "bits": [ 2293 ], "attributes": { } }, "$abc$24763$n3671_1": { "hide_name": 1, "bits": [ 2294 ], "attributes": { } }, "$abc$24763$n3673": { "hide_name": 1, "bits": [ 2295 ], "attributes": { } }, "$abc$24763$n3674_1": { "hide_name": 1, "bits": [ 2297 ], "attributes": { } }, "$abc$24763$n3675": { "hide_name": 1, "bits": [ 2298 ], "attributes": { } }, "$abc$24763$n3676": { "hide_name": 1, "bits": [ 2300 ], "attributes": { } }, "$abc$24763$n3677_1": { "hide_name": 1, "bits": [ 2299 ], "attributes": { } }, "$abc$24763$n3679": { "hide_name": 1, "bits": [ 2301 ], "attributes": { } }, "$abc$24763$n3680_1": { "hide_name": 1, "bits": [ 2303 ], "attributes": { } }, "$abc$24763$n3681": { "hide_name": 1, "bits": [ 2304 ], "attributes": { } }, "$abc$24763$n3682": { "hide_name": 1, "bits": [ 2305 ], "attributes": { } }, "$abc$24763$n3684": { "hide_name": 1, "bits": [ 2306 ], "attributes": { } }, "$abc$24763$n3685": { "hide_name": 1, "bits": [ 2308 ], "attributes": { } }, "$abc$24763$n3686_1": { "hide_name": 1, "bits": [ 2309 ], "attributes": { } }, "$abc$24763$n3688": { "hide_name": 1, "bits": [ 2310 ], "attributes": { } }, "$abc$24763$n3689_1": { "hide_name": 1, "bits": [ 2312 ], "attributes": { } }, "$abc$24763$n3690": { "hide_name": 1, "bits": [ 2314 ], "attributes": { } }, "$abc$24763$n3691": { "hide_name": 1, "bits": [ 2313 ], "attributes": { } }, "$abc$24763$n3693": { "hide_name": 1, "bits": [ 2315 ], "attributes": { } }, "$abc$24763$n3694": { "hide_name": 1, "bits": [ 2317 ], "attributes": { } }, "$abc$24763$n3695_1": { "hide_name": 1, "bits": [ 2318 ], "attributes": { } }, "$abc$24763$n3718_1": { "hide_name": 1, "bits": [ 2362 ], "attributes": { } }, "$abc$24763$n3719_1": { "hide_name": 1, "bits": [ 2363 ], "attributes": { } }, "$abc$24763$n373": { "hide_name": 1, "bits": [ 316 ], "attributes": { } }, "$abc$24763$n3739": { "hide_name": 1, "bits": [ 2383 ], "attributes": { } }, "$abc$24763$n374": { "hide_name": 1, "bits": [ 319 ], "attributes": { } }, "$abc$24763$n3740": { "hide_name": 1, "bits": [ 2385 ], "attributes": { } }, "$abc$24763$n3741": { "hide_name": 1, "bits": [ 2387 ], "attributes": { } }, "$abc$24763$n3742": { "hide_name": 1, "bits": [ 2388 ], "attributes": { } }, "$abc$24763$n3743": { "hide_name": 1, "bits": [ 2390 ], "attributes": { } }, "$abc$24763$n3744": { "hide_name": 1, "bits": [ 2391 ], "attributes": { } }, "$abc$24763$n3745": { "hide_name": 1, "bits": [ 2392 ], "attributes": { } }, "$abc$24763$n3746": { "hide_name": 1, "bits": [ 2389 ], "attributes": { } }, "$abc$24763$n3747": { "hide_name": 1, "bits": [ 2398 ], "attributes": { } }, "$abc$24763$n3748": { "hide_name": 1, "bits": [ 2399 ], "attributes": { } }, "$abc$24763$n3749": { "hide_name": 1, "bits": [ 2400 ], "attributes": { } }, "$abc$24763$n3750": { "hide_name": 1, "bits": [ 2384 ], "attributes": { } }, "$abc$24763$n3752": { "hide_name": 1, "bits": [ 2404 ], "attributes": { } }, "$abc$24763$n3753": { "hide_name": 1, "bits": [ 2406 ], "attributes": { } }, "$abc$24763$n3754": { "hide_name": 1, "bits": [ 2408 ], "attributes": { } }, "$abc$24763$n3755": { "hide_name": 1, "bits": [ 2409 ], "attributes": { } }, "$abc$24763$n3756": { "hide_name": 1, "bits": [ 2411 ], "attributes": { } }, "$abc$24763$n3757": { "hide_name": 1, "bits": [ 2412 ], "attributes": { } }, "$abc$24763$n3758": { "hide_name": 1, "bits": [ 2413 ], "attributes": { } }, "$abc$24763$n3759": { "hide_name": 1, "bits": [ 2410 ], "attributes": { } }, "$abc$24763$n3760": { "hide_name": 1, "bits": [ 2419 ], "attributes": { } }, "$abc$24763$n3761": { "hide_name": 1, "bits": [ 2420 ], "attributes": { } }, "$abc$24763$n3762": { "hide_name": 1, "bits": [ 2421 ], "attributes": { } }, "$abc$24763$n3763": { "hide_name": 1, "bits": [ 2405 ], "attributes": { } }, "$abc$24763$n3765": { "hide_name": 1, "bits": [ 2425 ], "attributes": { } }, "$abc$24763$n3766": { "hide_name": 1, "bits": [ 2427 ], "attributes": { } }, "$abc$24763$n3767": { "hide_name": 1, "bits": [ 2429 ], "attributes": { } }, "$abc$24763$n3768": { "hide_name": 1, "bits": [ 2430 ], "attributes": { } }, "$abc$24763$n3769": { "hide_name": 1, "bits": [ 2432 ], "attributes": { } }, "$abc$24763$n3770": { "hide_name": 1, "bits": [ 2433 ], "attributes": { } }, "$abc$24763$n3771": { "hide_name": 1, "bits": [ 2434 ], "attributes": { } }, "$abc$24763$n3772": { "hide_name": 1, "bits": [ 2431 ], "attributes": { } }, "$abc$24763$n3773": { "hide_name": 1, "bits": [ 2440 ], "attributes": { } }, "$abc$24763$n3774": { "hide_name": 1, "bits": [ 2441 ], "attributes": { } }, "$abc$24763$n3775": { "hide_name": 1, "bits": [ 2442 ], "attributes": { } }, "$abc$24763$n3776": { "hide_name": 1, "bits": [ 2426 ], "attributes": { } }, "$abc$24763$n3778": { "hide_name": 1, "bits": [ 2446 ], "attributes": { } }, "$abc$24763$n3779": { "hide_name": 1, "bits": [ 2448 ], "attributes": { } }, "$abc$24763$n378": { "hide_name": 1, "bits": [ 327 ], "attributes": { } }, "$abc$24763$n3780": { "hide_name": 1, "bits": [ 2450 ], "attributes": { } }, "$abc$24763$n3781": { "hide_name": 1, "bits": [ 2451 ], "attributes": { } }, "$abc$24763$n3782": { "hide_name": 1, "bits": [ 2453 ], "attributes": { } }, "$abc$24763$n3783": { "hide_name": 1, "bits": [ 2454 ], "attributes": { } }, "$abc$24763$n3784": { "hide_name": 1, "bits": [ 2455 ], "attributes": { } }, "$abc$24763$n3785": { "hide_name": 1, "bits": [ 2452 ], "attributes": { } }, "$abc$24763$n3786": { "hide_name": 1, "bits": [ 2461 ], "attributes": { } }, "$abc$24763$n3787": { "hide_name": 1, "bits": [ 2462 ], "attributes": { } }, "$abc$24763$n3788": { "hide_name": 1, "bits": [ 2463 ], "attributes": { } }, "$abc$24763$n3789": { "hide_name": 1, "bits": [ 2447 ], "attributes": { } }, "$abc$24763$n3791": { "hide_name": 1, "bits": [ 2467 ], "attributes": { } }, "$abc$24763$n3792": { "hide_name": 1, "bits": [ 2469 ], "attributes": { } }, "$abc$24763$n3793": { "hide_name": 1, "bits": [ 2471 ], "attributes": { } }, "$abc$24763$n3794": { "hide_name": 1, "bits": [ 2472 ], "attributes": { } }, "$abc$24763$n3795": { "hide_name": 1, "bits": [ 2474 ], "attributes": { } }, "$abc$24763$n3796": { "hide_name": 1, "bits": [ 2475 ], "attributes": { } }, "$abc$24763$n3797": { "hide_name": 1, "bits": [ 2476 ], "attributes": { } }, "$abc$24763$n3798": { "hide_name": 1, "bits": [ 2473 ], "attributes": { } }, "$abc$24763$n3799": { "hide_name": 1, "bits": [ 2482 ], "attributes": { } }, "$abc$24763$n3800": { "hide_name": 1, "bits": [ 2483 ], "attributes": { } }, "$abc$24763$n3801": { "hide_name": 1, "bits": [ 2484 ], "attributes": { } }, "$abc$24763$n3802": { "hide_name": 1, "bits": [ 2468 ], "attributes": { } }, "$abc$24763$n3804": { "hide_name": 1, "bits": [ 2488 ], "attributes": { } }, "$abc$24763$n3805": { "hide_name": 1, "bits": [ 2490 ], "attributes": { } }, "$abc$24763$n3806": { "hide_name": 1, "bits": [ 2492 ], "attributes": { } }, "$abc$24763$n3807": { "hide_name": 1, "bits": [ 2493 ], "attributes": { } }, "$abc$24763$n3808": { "hide_name": 1, "bits": [ 2495 ], "attributes": { } }, "$abc$24763$n3809": { "hide_name": 1, "bits": [ 2496 ], "attributes": { } }, "$abc$24763$n3810": { "hide_name": 1, "bits": [ 2497 ], "attributes": { } }, "$abc$24763$n3811": { "hide_name": 1, "bits": [ 2494 ], "attributes": { } }, "$abc$24763$n3812": { "hide_name": 1, "bits": [ 2503 ], "attributes": { } }, "$abc$24763$n3813": { "hide_name": 1, "bits": [ 2504 ], "attributes": { } }, "$abc$24763$n3814": { "hide_name": 1, "bits": [ 2505 ], "attributes": { } }, "$abc$24763$n3815": { "hide_name": 1, "bits": [ 2489 ], "attributes": { } }, "$abc$24763$n3817": { "hide_name": 1, "bits": [ 2509 ], "attributes": { } }, "$abc$24763$n3818": { "hide_name": 1, "bits": [ 2511 ], "attributes": { } }, "$abc$24763$n3819": { "hide_name": 1, "bits": [ 2513 ], "attributes": { } }, "$abc$24763$n3820": { "hide_name": 1, "bits": [ 2514 ], "attributes": { } }, "$abc$24763$n3821": { "hide_name": 1, "bits": [ 2516 ], "attributes": { } }, "$abc$24763$n3822": { "hide_name": 1, "bits": [ 2517 ], "attributes": { } }, "$abc$24763$n3823": { "hide_name": 1, "bits": [ 2518 ], "attributes": { } }, "$abc$24763$n3824": { "hide_name": 1, "bits": [ 2515 ], "attributes": { } }, "$abc$24763$n3825": { "hide_name": 1, "bits": [ 2524 ], "attributes": { } }, "$abc$24763$n3826": { "hide_name": 1, "bits": [ 2525 ], "attributes": { } }, "$abc$24763$n3827": { "hide_name": 1, "bits": [ 2526 ], "attributes": { } }, "$abc$24763$n3828": { "hide_name": 1, "bits": [ 2510 ], "attributes": { } }, "$abc$24763$n3830": { "hide_name": 1, "bits": [ 2530 ], "attributes": { } }, "$abc$24763$n3831": { "hide_name": 1, "bits": [ 2532 ], "attributes": { } }, "$abc$24763$n3832": { "hide_name": 1, "bits": [ 2534 ], "attributes": { } }, "$abc$24763$n3833": { "hide_name": 1, "bits": [ 2535 ], "attributes": { } }, "$abc$24763$n3834": { "hide_name": 1, "bits": [ 2537 ], "attributes": { } }, "$abc$24763$n3835": { "hide_name": 1, "bits": [ 2538 ], "attributes": { } }, "$abc$24763$n3836": { "hide_name": 1, "bits": [ 2539 ], "attributes": { } }, "$abc$24763$n3837": { "hide_name": 1, "bits": [ 2536 ], "attributes": { } }, "$abc$24763$n3838": { "hide_name": 1, "bits": [ 2545 ], "attributes": { } }, "$abc$24763$n3839": { "hide_name": 1, "bits": [ 2546 ], "attributes": { } }, "$abc$24763$n3840": { "hide_name": 1, "bits": [ 2547 ], "attributes": { } }, "$abc$24763$n3841": { "hide_name": 1, "bits": [ 2531 ], "attributes": { } }, "$abc$24763$n3843": { "hide_name": 1, "bits": [ 2551 ], "attributes": { } }, "$abc$24763$n3844": { "hide_name": 1, "bits": [ 2553 ], "attributes": { } }, "$abc$24763$n3845": { "hide_name": 1, "bits": [ 2555 ], "attributes": { } }, "$abc$24763$n3846": { "hide_name": 1, "bits": [ 2556 ], "attributes": { } }, "$abc$24763$n3847": { "hide_name": 1, "bits": [ 2558 ], "attributes": { } }, "$abc$24763$n3848": { "hide_name": 1, "bits": [ 2559 ], "attributes": { } }, "$abc$24763$n3849": { "hide_name": 1, "bits": [ 2560 ], "attributes": { } }, "$abc$24763$n3850": { "hide_name": 1, "bits": [ 2557 ], "attributes": { } }, "$abc$24763$n3851": { "hide_name": 1, "bits": [ 2566 ], "attributes": { } }, "$abc$24763$n3852": { "hide_name": 1, "bits": [ 2567 ], "attributes": { } }, "$abc$24763$n3853": { "hide_name": 1, "bits": [ 2568 ], "attributes": { } }, "$abc$24763$n3854": { "hide_name": 1, "bits": [ 2552 ], "attributes": { } }, "$abc$24763$n3856": { "hide_name": 1, "bits": [ 2572 ], "attributes": { } }, "$abc$24763$n3857": { "hide_name": 1, "bits": [ 2574 ], "attributes": { } }, "$abc$24763$n3858": { "hide_name": 1, "bits": [ 2576 ], "attributes": { } }, "$abc$24763$n3859": { "hide_name": 1, "bits": [ 2577 ], "attributes": { } }, "$abc$24763$n386": { "hide_name": 1, "bits": [ 334 ], "attributes": { } }, "$abc$24763$n3860": { "hide_name": 1, "bits": [ 2579 ], "attributes": { } }, "$abc$24763$n3861": { "hide_name": 1, "bits": [ 2580 ], "attributes": { } }, "$abc$24763$n3862": { "hide_name": 1, "bits": [ 2581 ], "attributes": { } }, "$abc$24763$n3863": { "hide_name": 1, "bits": [ 2578 ], "attributes": { } }, "$abc$24763$n3864": { "hide_name": 1, "bits": [ 2587 ], "attributes": { } }, "$abc$24763$n3865": { "hide_name": 1, "bits": [ 2588 ], "attributes": { } }, "$abc$24763$n3866": { "hide_name": 1, "bits": [ 2589 ], "attributes": { } }, "$abc$24763$n3867": { "hide_name": 1, "bits": [ 2573 ], "attributes": { } }, "$abc$24763$n3869": { "hide_name": 1, "bits": [ 2593 ], "attributes": { } }, "$abc$24763$n3870": { "hide_name": 1, "bits": [ 2595 ], "attributes": { } }, "$abc$24763$n3871": { "hide_name": 1, "bits": [ 2597 ], "attributes": { } }, "$abc$24763$n3872": { "hide_name": 1, "bits": [ 2598 ], "attributes": { } }, "$abc$24763$n3873": { "hide_name": 1, "bits": [ 2600 ], "attributes": { } }, "$abc$24763$n3874": { "hide_name": 1, "bits": [ 2601 ], "attributes": { } }, "$abc$24763$n3875": { "hide_name": 1, "bits": [ 2602 ], "attributes": { } }, "$abc$24763$n3876": { "hide_name": 1, "bits": [ 2599 ], "attributes": { } }, "$abc$24763$n3877": { "hide_name": 1, "bits": [ 2608 ], "attributes": { } }, "$abc$24763$n3878": { "hide_name": 1, "bits": [ 2609 ], "attributes": { } }, "$abc$24763$n3879": { "hide_name": 1, "bits": [ 2610 ], "attributes": { } }, "$abc$24763$n388": { "hide_name": 1, "bits": [ 339 ], "attributes": { } }, "$abc$24763$n3880": { "hide_name": 1, "bits": [ 2594 ], "attributes": { } }, "$abc$24763$n3882": { "hide_name": 1, "bits": [ 2614 ], "attributes": { } }, "$abc$24763$n3883": { "hide_name": 1, "bits": [ 2616 ], "attributes": { } }, "$abc$24763$n3884": { "hide_name": 1, "bits": [ 2618 ], "attributes": { } }, "$abc$24763$n3885": { "hide_name": 1, "bits": [ 2619 ], "attributes": { } }, "$abc$24763$n3886": { "hide_name": 1, "bits": [ 2621 ], "attributes": { } }, "$abc$24763$n3887": { "hide_name": 1, "bits": [ 2622 ], "attributes": { } }, "$abc$24763$n3888": { "hide_name": 1, "bits": [ 2623 ], "attributes": { } }, "$abc$24763$n3889": { "hide_name": 1, "bits": [ 2620 ], "attributes": { } }, "$abc$24763$n389": { "hide_name": 1, "bits": [ 342 ], "attributes": { } }, "$abc$24763$n3890": { "hide_name": 1, "bits": [ 2629 ], "attributes": { } }, "$abc$24763$n3891": { "hide_name": 1, "bits": [ 2630 ], "attributes": { } }, "$abc$24763$n3892": { "hide_name": 1, "bits": [ 2631 ], "attributes": { } }, "$abc$24763$n3893": { "hide_name": 1, "bits": [ 2615 ], "attributes": { } }, "$abc$24763$n3895": { "hide_name": 1, "bits": [ 2635 ], "attributes": { } }, "$abc$24763$n3896": { "hide_name": 1, "bits": [ 2637 ], "attributes": { } }, "$abc$24763$n3897": { "hide_name": 1, "bits": [ 2639 ], "attributes": { } }, "$abc$24763$n3898": { "hide_name": 1, "bits": [ 2640 ], "attributes": { } }, "$abc$24763$n3899": { "hide_name": 1, "bits": [ 2642 ], "attributes": { } }, "$abc$24763$n3900": { "hide_name": 1, "bits": [ 2643 ], "attributes": { } }, "$abc$24763$n3901": { "hide_name": 1, "bits": [ 2644 ], "attributes": { } }, "$abc$24763$n3902": { "hide_name": 1, "bits": [ 2641 ], "attributes": { } }, "$abc$24763$n3903": { "hide_name": 1, "bits": [ 2650 ], "attributes": { } }, "$abc$24763$n3904": { "hide_name": 1, "bits": [ 2651 ], "attributes": { } }, "$abc$24763$n3905": { "hide_name": 1, "bits": [ 2652 ], "attributes": { } }, "$abc$24763$n3906_1": { "hide_name": 1, "bits": [ 2636 ], "attributes": { } }, "$abc$24763$n3908": { "hide_name": 1, "bits": [ 2656 ], "attributes": { } }, "$abc$24763$n3909_1": { "hide_name": 1, "bits": [ 2658 ], "attributes": { } }, "$abc$24763$n3910": { "hide_name": 1, "bits": [ 2660 ], "attributes": { } }, "$abc$24763$n3911": { "hide_name": 1, "bits": [ 2661 ], "attributes": { } }, "$abc$24763$n3912_1": { "hide_name": 1, "bits": [ 2663 ], "attributes": { } }, "$abc$24763$n3913": { "hide_name": 1, "bits": [ 2664 ], "attributes": { } }, "$abc$24763$n3914": { "hide_name": 1, "bits": [ 2662 ], "attributes": { } }, "$abc$24763$n3915_1": { "hide_name": 1, "bits": [ 2665 ], "attributes": { } }, "$abc$24763$n3916": { "hide_name": 1, "bits": [ 2657 ], "attributes": { } }, "$abc$24763$n3918_1": { "hide_name": 1, "bits": [ 2666 ], "attributes": { } }, "$abc$24763$n3919": { "hide_name": 1, "bits": [ 2668 ], "attributes": { } }, "$abc$24763$n3920": { "hide_name": 1, "bits": [ 2670 ], "attributes": { } }, "$abc$24763$n3921_1": { "hide_name": 1, "bits": [ 2671 ], "attributes": { } }, "$abc$24763$n3922": { "hide_name": 1, "bits": [ 2672 ], "attributes": { } }, "$abc$24763$n3923": { "hide_name": 1, "bits": [ 2673 ], "attributes": { } }, "$abc$24763$n3924_1": { "hide_name": 1, "bits": [ 2667 ], "attributes": { } }, "$abc$24763$n3926": { "hide_name": 1, "bits": [ 2674 ], "attributes": { } }, "$abc$24763$n3927_1": { "hide_name": 1, "bits": [ 2675 ], "attributes": { } }, "$abc$24763$n3928": { "hide_name": 1, "bits": [ 2677 ], "attributes": { } }, "$abc$24763$n3929": { "hide_name": 1, "bits": [ 2678 ], "attributes": { } }, "$abc$24763$n393": { "hide_name": 1, "bits": [ 346 ], "attributes": { } }, "$abc$24763$n3930_1": { "hide_name": 1, "bits": [ 2679 ], "attributes": { } }, "$abc$24763$n3931": { "hide_name": 1, "bits": [ 2680 ], "attributes": { } }, "$abc$24763$n3933_1": { "hide_name": 1, "bits": [ 2681 ], "attributes": { } }, "$abc$24763$n3934": { "hide_name": 1, "bits": [ 2682 ], "attributes": { } }, "$abc$24763$n3935": { "hide_name": 1, "bits": [ 2684 ], "attributes": { } }, "$abc$24763$n3936_1": { "hide_name": 1, "bits": [ 2685 ], "attributes": { } }, "$abc$24763$n3937": { "hide_name": 1, "bits": [ 2686 ], "attributes": { } }, "$abc$24763$n3938": { "hide_name": 1, "bits": [ 2687 ], "attributes": { } }, "$abc$24763$n3940": { "hide_name": 1, "bits": [ 2688 ], "attributes": { } }, "$abc$24763$n3941": { "hide_name": 1, "bits": [ 2689 ], "attributes": { } }, "$abc$24763$n3942_1": { "hide_name": 1, "bits": [ 2691 ], "attributes": { } }, "$abc$24763$n3943": { "hide_name": 1, "bits": [ 2692 ], "attributes": { } }, "$abc$24763$n3944": { "hide_name": 1, "bits": [ 2693 ], "attributes": { } }, "$abc$24763$n3945_1": { "hide_name": 1, "bits": [ 2694 ], "attributes": { } }, "$abc$24763$n3947": { "hide_name": 1, "bits": [ 2695 ], "attributes": { } }, "$abc$24763$n3948_1": { "hide_name": 1, "bits": [ 2696 ], "attributes": { } }, "$abc$24763$n3949": { "hide_name": 1, "bits": [ 2698 ], "attributes": { } }, "$abc$24763$n3950": { "hide_name": 1, "bits": [ 2699 ], "attributes": { } }, "$abc$24763$n3951_1": { "hide_name": 1, "bits": [ 2700 ], "attributes": { } }, "$abc$24763$n3952": { "hide_name": 1, "bits": [ 2701 ], "attributes": { } }, "$abc$24763$n3954": { "hide_name": 1, "bits": [ 2702 ], "attributes": { } }, "$abc$24763$n3955": { "hide_name": 1, "bits": [ 2703 ], "attributes": { } }, "$abc$24763$n3956": { "hide_name": 1, "bits": [ 2705 ], "attributes": { } }, "$abc$24763$n3957": { "hide_name": 1, "bits": [ 2706 ], "attributes": { } }, "$abc$24763$n3958": { "hide_name": 1, "bits": [ 2707 ], "attributes": { } }, "$abc$24763$n3959": { "hide_name": 1, "bits": [ 2708 ], "attributes": { } }, "$abc$24763$n396": { "hide_name": 1, "bits": [ 349 ], "attributes": { } }, "$abc$24763$n3961": { "hide_name": 1, "bits": [ 2709 ], "attributes": { } }, "$abc$24763$n3962": { "hide_name": 1, "bits": [ 2710 ], "attributes": { } }, "$abc$24763$n3963": { "hide_name": 1, "bits": [ 2712 ], "attributes": { } }, "$abc$24763$n3964": { "hide_name": 1, "bits": [ 2713 ], "attributes": { } }, "$abc$24763$n3965": { "hide_name": 1, "bits": [ 2714 ], "attributes": { } }, "$abc$24763$n3966": { "hide_name": 1, "bits": [ 2715 ], "attributes": { } }, "$abc$24763$n3968": { "hide_name": 1, "bits": [ 2716 ], "attributes": { } }, "$abc$24763$n3969": { "hide_name": 1, "bits": [ 2717 ], "attributes": { } }, "$abc$24763$n3970": { "hide_name": 1, "bits": [ 2719 ], "attributes": { } }, "$abc$24763$n3971": { "hide_name": 1, "bits": [ 2720 ], "attributes": { } }, "$abc$24763$n3972": { "hide_name": 1, "bits": [ 2721 ], "attributes": { } }, "$abc$24763$n3973": { "hide_name": 1, "bits": [ 2722 ], "attributes": { } }, "$abc$24763$n3975": { "hide_name": 1, "bits": [ 2723 ], "attributes": { } }, "$abc$24763$n3976": { "hide_name": 1, "bits": [ 2724 ], "attributes": { } }, "$abc$24763$n3977": { "hide_name": 1, "bits": [ 2726 ], "attributes": { } }, "$abc$24763$n3978": { "hide_name": 1, "bits": [ 2727 ], "attributes": { } }, "$abc$24763$n3979": { "hide_name": 1, "bits": [ 2728 ], "attributes": { } }, "$abc$24763$n3980": { "hide_name": 1, "bits": [ 2729 ], "attributes": { } }, "$abc$24763$n3982": { "hide_name": 1, "bits": [ 2730 ], "attributes": { } }, "$abc$24763$n3983": { "hide_name": 1, "bits": [ 2731 ], "attributes": { } }, "$abc$24763$n3984": { "hide_name": 1, "bits": [ 2733 ], "attributes": { } }, "$abc$24763$n3985_1": { "hide_name": 1, "bits": [ 2734 ], "attributes": { } }, "$abc$24763$n3986_1": { "hide_name": 1, "bits": [ 2735 ], "attributes": { } }, "$abc$24763$n3987_1": { "hide_name": 1, "bits": [ 2736 ], "attributes": { } }, "$abc$24763$n3989_1": { "hide_name": 1, "bits": [ 2737 ], "attributes": { } }, "$abc$24763$n3990_1": { "hide_name": 1, "bits": [ 2738 ], "attributes": { } }, "$abc$24763$n3991_1": { "hide_name": 1, "bits": [ 2740 ], "attributes": { } }, "$abc$24763$n3992_1": { "hide_name": 1, "bits": [ 2741 ], "attributes": { } }, "$abc$24763$n3993_1": { "hide_name": 1, "bits": [ 2742 ], "attributes": { } }, "$abc$24763$n3994_1": { "hide_name": 1, "bits": [ 2743 ], "attributes": { } }, "$abc$24763$n3996_1": { "hide_name": 1, "bits": [ 2744 ], "attributes": { } }, "$abc$24763$n3997_1": { "hide_name": 1, "bits": [ 2745 ], "attributes": { } }, "$abc$24763$n3998_1": { "hide_name": 1, "bits": [ 2747 ], "attributes": { } }, "$abc$24763$n3999_1": { "hide_name": 1, "bits": [ 2748 ], "attributes": { } }, "$abc$24763$n4000_1": { "hide_name": 1, "bits": [ 2749 ], "attributes": { } }, "$abc$24763$n4001": { "hide_name": 1, "bits": [ 2750 ], "attributes": { } }, "$abc$24763$n4003": { "hide_name": 1, "bits": [ 2751 ], "attributes": { } }, "$abc$24763$n4004": { "hide_name": 1, "bits": [ 2752 ], "attributes": { } }, "$abc$24763$n4005": { "hide_name": 1, "bits": [ 2754 ], "attributes": { } }, "$abc$24763$n4006": { "hide_name": 1, "bits": [ 2755 ], "attributes": { } }, "$abc$24763$n4007": { "hide_name": 1, "bits": [ 2756 ], "attributes": { } }, "$abc$24763$n4008": { "hide_name": 1, "bits": [ 2757 ], "attributes": { } }, "$abc$24763$n4010": { "hide_name": 1, "bits": [ 2758 ], "attributes": { } }, "$abc$24763$n4011": { "hide_name": 1, "bits": [ 2759 ], "attributes": { } }, "$abc$24763$n4012": { "hide_name": 1, "bits": [ 2761 ], "attributes": { } }, "$abc$24763$n4013": { "hide_name": 1, "bits": [ 2762 ], "attributes": { } }, "$abc$24763$n4014": { "hide_name": 1, "bits": [ 2763 ], "attributes": { } }, "$abc$24763$n4015": { "hide_name": 1, "bits": [ 2764 ], "attributes": { } }, "$abc$24763$n4045": { "hide_name": 1, "bits": [ 2793 ], "attributes": { } }, "$abc$24763$n4046": { "hide_name": 1, "bits": [ 2795 ], "attributes": { } }, "$abc$24763$n4047": { "hide_name": 1, "bits": [ 2796 ], "attributes": { } }, "$abc$24763$n4048": { "hide_name": 1, "bits": [ 2797 ], "attributes": { } }, "$abc$24763$n4051": { "hide_name": 1, "bits": [ 2799 ], "attributes": { } }, "$abc$24763$n4052": { "hide_name": 1, "bits": [ 2801 ], "attributes": { } }, "$abc$24763$n4053": { "hide_name": 1, "bits": [ 2803 ], "attributes": { } }, "$abc$24763$n4054": { "hide_name": 1, "bits": [ 2804 ], "attributes": { } }, "$abc$24763$n4055": { "hide_name": 1, "bits": [ 2806 ], "attributes": { } }, "$abc$24763$n4056": { "hide_name": 1, "bits": [ 2807 ], "attributes": { } }, "$abc$24763$n4057": { "hide_name": 1, "bits": [ 2808 ], "attributes": { } }, "$abc$24763$n4058": { "hide_name": 1, "bits": [ 2805 ], "attributes": { } }, "$abc$24763$n4059": { "hide_name": 1, "bits": [ 2814 ], "attributes": { } }, "$abc$24763$n4060": { "hide_name": 1, "bits": [ 2815 ], "attributes": { } }, "$abc$24763$n4061": { "hide_name": 1, "bits": [ 2816 ], "attributes": { } }, "$abc$24763$n4062": { "hide_name": 1, "bits": [ 2800 ], "attributes": { } }, "$abc$24763$n4064": { "hide_name": 1, "bits": [ 2820 ], "attributes": { } }, "$abc$24763$n4065": { "hide_name": 1, "bits": [ 2822 ], "attributes": { } }, "$abc$24763$n4066": { "hide_name": 1, "bits": [ 2824 ], "attributes": { } }, "$abc$24763$n4067": { "hide_name": 1, "bits": [ 2825 ], "attributes": { } }, "$abc$24763$n4068": { "hide_name": 1, "bits": [ 2827 ], "attributes": { } }, "$abc$24763$n4069": { "hide_name": 1, "bits": [ 2828 ], "attributes": { } }, "$abc$24763$n4070": { "hide_name": 1, "bits": [ 2829 ], "attributes": { } }, "$abc$24763$n4071": { "hide_name": 1, "bits": [ 2826 ], "attributes": { } }, "$abc$24763$n4072": { "hide_name": 1, "bits": [ 2835 ], "attributes": { } }, "$abc$24763$n4073": { "hide_name": 1, "bits": [ 2836 ], "attributes": { } }, "$abc$24763$n4074": { "hide_name": 1, "bits": [ 2837 ], "attributes": { } }, "$abc$24763$n4075": { "hide_name": 1, "bits": [ 2821 ], "attributes": { } }, "$abc$24763$n4077": { "hide_name": 1, "bits": [ 2841 ], "attributes": { } }, "$abc$24763$n4078": { "hide_name": 1, "bits": [ 2843 ], "attributes": { } }, "$abc$24763$n4079": { "hide_name": 1, "bits": [ 2845 ], "attributes": { } }, "$abc$24763$n408": { "hide_name": 1, "bits": [ 353 ], "attributes": { } }, "$abc$24763$n4080": { "hide_name": 1, "bits": [ 2846 ], "attributes": { } }, "$abc$24763$n4081": { "hide_name": 1, "bits": [ 2848 ], "attributes": { } }, "$abc$24763$n4082": { "hide_name": 1, "bits": [ 2849 ], "attributes": { } }, "$abc$24763$n4083": { "hide_name": 1, "bits": [ 2850 ], "attributes": { } }, "$abc$24763$n4084": { "hide_name": 1, "bits": [ 2847 ], "attributes": { } }, "$abc$24763$n4085": { "hide_name": 1, "bits": [ 2856 ], "attributes": { } }, "$abc$24763$n4086": { "hide_name": 1, "bits": [ 2857 ], "attributes": { } }, "$abc$24763$n4087": { "hide_name": 1, "bits": [ 2858 ], "attributes": { } }, "$abc$24763$n4088": { "hide_name": 1, "bits": [ 2842 ], "attributes": { } }, "$abc$24763$n4090": { "hide_name": 1, "bits": [ 2862 ], "attributes": { } }, "$abc$24763$n4091": { "hide_name": 1, "bits": [ 2864 ], "attributes": { } }, "$abc$24763$n4092": { "hide_name": 1, "bits": [ 2866 ], "attributes": { } }, "$abc$24763$n4093": { "hide_name": 1, "bits": [ 2867 ], "attributes": { } }, "$abc$24763$n4094": { "hide_name": 1, "bits": [ 2869 ], "attributes": { } }, "$abc$24763$n4095": { "hide_name": 1, "bits": [ 2870 ], "attributes": { } }, "$abc$24763$n4096": { "hide_name": 1, "bits": [ 2871 ], "attributes": { } }, "$abc$24763$n4097": { "hide_name": 1, "bits": [ 2868 ], "attributes": { } }, "$abc$24763$n4098": { "hide_name": 1, "bits": [ 2877 ], "attributes": { } }, "$abc$24763$n4099": { "hide_name": 1, "bits": [ 2878 ], "attributes": { } }, "$abc$24763$n4100": { "hide_name": 1, "bits": [ 2879 ], "attributes": { } }, "$abc$24763$n4101": { "hide_name": 1, "bits": [ 2863 ], "attributes": { } }, "$abc$24763$n4103": { "hide_name": 1, "bits": [ 2883 ], "attributes": { } }, "$abc$24763$n4104": { "hide_name": 1, "bits": [ 2885 ], "attributes": { } }, "$abc$24763$n4105": { "hide_name": 1, "bits": [ 2887 ], "attributes": { } }, "$abc$24763$n4106": { "hide_name": 1, "bits": [ 2888 ], "attributes": { } }, "$abc$24763$n4107": { "hide_name": 1, "bits": [ 2890 ], "attributes": { } }, "$abc$24763$n4108": { "hide_name": 1, "bits": [ 2891 ], "attributes": { } }, "$abc$24763$n4109": { "hide_name": 1, "bits": [ 2892 ], "attributes": { } }, "$abc$24763$n4110": { "hide_name": 1, "bits": [ 2889 ], "attributes": { } }, "$abc$24763$n4111": { "hide_name": 1, "bits": [ 2898 ], "attributes": { } }, "$abc$24763$n4112": { "hide_name": 1, "bits": [ 2899 ], "attributes": { } }, "$abc$24763$n4113": { "hide_name": 1, "bits": [ 2900 ], "attributes": { } }, "$abc$24763$n4114": { "hide_name": 1, "bits": [ 2884 ], "attributes": { } }, "$abc$24763$n4116": { "hide_name": 1, "bits": [ 2904 ], "attributes": { } }, "$abc$24763$n4117": { "hide_name": 1, "bits": [ 2906 ], "attributes": { } }, "$abc$24763$n4118": { "hide_name": 1, "bits": [ 2908 ], "attributes": { } }, "$abc$24763$n4119": { "hide_name": 1, "bits": [ 2909 ], "attributes": { } }, "$abc$24763$n4120": { "hide_name": 1, "bits": [ 2911 ], "attributes": { } }, "$abc$24763$n4121": { "hide_name": 1, "bits": [ 2912 ], "attributes": { } }, "$abc$24763$n4122": { "hide_name": 1, "bits": [ 2913 ], "attributes": { } }, "$abc$24763$n4123": { "hide_name": 1, "bits": [ 2910 ], "attributes": { } }, "$abc$24763$n4124": { "hide_name": 1, "bits": [ 2919 ], "attributes": { } }, "$abc$24763$n4125": { "hide_name": 1, "bits": [ 2920 ], "attributes": { } }, "$abc$24763$n4126": { "hide_name": 1, "bits": [ 2921 ], "attributes": { } }, "$abc$24763$n4127": { "hide_name": 1, "bits": [ 2905 ], "attributes": { } }, "$abc$24763$n4129": { "hide_name": 1, "bits": [ 2925 ], "attributes": { } }, "$abc$24763$n4130": { "hide_name": 1, "bits": [ 2927 ], "attributes": { } }, "$abc$24763$n4131": { "hide_name": 1, "bits": [ 2929 ], "attributes": { } }, "$abc$24763$n4132": { "hide_name": 1, "bits": [ 2930 ], "attributes": { } }, "$abc$24763$n4133": { "hide_name": 1, "bits": [ 2932 ], "attributes": { } }, "$abc$24763$n4134": { "hide_name": 1, "bits": [ 2933 ], "attributes": { } }, "$abc$24763$n4135": { "hide_name": 1, "bits": [ 2934 ], "attributes": { } }, "$abc$24763$n4136": { "hide_name": 1, "bits": [ 2931 ], "attributes": { } }, "$abc$24763$n4137": { "hide_name": 1, "bits": [ 2940 ], "attributes": { } }, "$abc$24763$n4138": { "hide_name": 1, "bits": [ 2941 ], "attributes": { } }, "$abc$24763$n4139": { "hide_name": 1, "bits": [ 2942 ], "attributes": { } }, "$abc$24763$n4140": { "hide_name": 1, "bits": [ 2926 ], "attributes": { } }, "$abc$24763$n4142": { "hide_name": 1, "bits": [ 2946 ], "attributes": { } }, "$abc$24763$n4143": { "hide_name": 1, "bits": [ 2948 ], "attributes": { } }, "$abc$24763$n4144": { "hide_name": 1, "bits": [ 2950 ], "attributes": { } }, "$abc$24763$n4145": { "hide_name": 1, "bits": [ 2951 ], "attributes": { } }, "$abc$24763$n4146": { "hide_name": 1, "bits": [ 2953 ], "attributes": { } }, "$abc$24763$n4147": { "hide_name": 1, "bits": [ 2954 ], "attributes": { } }, "$abc$24763$n4148": { "hide_name": 1, "bits": [ 2955 ], "attributes": { } }, "$abc$24763$n4149": { "hide_name": 1, "bits": [ 2952 ], "attributes": { } }, "$abc$24763$n4150": { "hide_name": 1, "bits": [ 2961 ], "attributes": { } }, "$abc$24763$n4151": { "hide_name": 1, "bits": [ 2962 ], "attributes": { } }, "$abc$24763$n4152": { "hide_name": 1, "bits": [ 2963 ], "attributes": { } }, "$abc$24763$n4153": { "hide_name": 1, "bits": [ 2947 ], "attributes": { } }, "$abc$24763$n4155": { "hide_name": 1, "bits": [ 2967 ], "attributes": { } }, "$abc$24763$n4156": { "hide_name": 1, "bits": [ 2969 ], "attributes": { } }, "$abc$24763$n4157": { "hide_name": 1, "bits": [ 2971 ], "attributes": { } }, "$abc$24763$n4158": { "hide_name": 1, "bits": [ 2972 ], "attributes": { } }, "$abc$24763$n4159": { "hide_name": 1, "bits": [ 2974 ], "attributes": { } }, "$abc$24763$n4160": { "hide_name": 1, "bits": [ 2975 ], "attributes": { } }, "$abc$24763$n4161": { "hide_name": 1, "bits": [ 2976 ], "attributes": { } }, "$abc$24763$n4162": { "hide_name": 1, "bits": [ 2973 ], "attributes": { } }, "$abc$24763$n4163": { "hide_name": 1, "bits": [ 2982 ], "attributes": { } }, "$abc$24763$n4164": { "hide_name": 1, "bits": [ 2983 ], "attributes": { } }, "$abc$24763$n4165": { "hide_name": 1, "bits": [ 2984 ], "attributes": { } }, "$abc$24763$n4166": { "hide_name": 1, "bits": [ 2968 ], "attributes": { } }, "$abc$24763$n4168": { "hide_name": 1, "bits": [ 2988 ], "attributes": { } }, "$abc$24763$n4169": { "hide_name": 1, "bits": [ 2990 ], "attributes": { } }, "$abc$24763$n4170": { "hide_name": 1, "bits": [ 2992 ], "attributes": { } }, "$abc$24763$n4171": { "hide_name": 1, "bits": [ 2993 ], "attributes": { } }, "$abc$24763$n4172": { "hide_name": 1, "bits": [ 2995 ], "attributes": { } }, "$abc$24763$n4173": { "hide_name": 1, "bits": [ 2996 ], "attributes": { } }, "$abc$24763$n4174": { "hide_name": 1, "bits": [ 2997 ], "attributes": { } }, "$abc$24763$n4175": { "hide_name": 1, "bits": [ 2994 ], "attributes": { } }, "$abc$24763$n4176": { "hide_name": 1, "bits": [ 3003 ], "attributes": { } }, "$abc$24763$n4177": { "hide_name": 1, "bits": [ 3004 ], "attributes": { } }, "$abc$24763$n4178": { "hide_name": 1, "bits": [ 3005 ], "attributes": { } }, "$abc$24763$n4179": { "hide_name": 1, "bits": [ 2989 ], "attributes": { } }, "$abc$24763$n4181": { "hide_name": 1, "bits": [ 3009 ], "attributes": { } }, "$abc$24763$n4182": { "hide_name": 1, "bits": [ 3011 ], "attributes": { } }, "$abc$24763$n4183": { "hide_name": 1, "bits": [ 3013 ], "attributes": { } }, "$abc$24763$n4184": { "hide_name": 1, "bits": [ 3014 ], "attributes": { } }, "$abc$24763$n4185": { "hide_name": 1, "bits": [ 3016 ], "attributes": { } }, "$abc$24763$n4186": { "hide_name": 1, "bits": [ 3017 ], "attributes": { } }, "$abc$24763$n4187": { "hide_name": 1, "bits": [ 3018 ], "attributes": { } }, "$abc$24763$n4188": { "hide_name": 1, "bits": [ 3015 ], "attributes": { } }, "$abc$24763$n4189": { "hide_name": 1, "bits": [ 3024 ], "attributes": { } }, "$abc$24763$n4190": { "hide_name": 1, "bits": [ 3025 ], "attributes": { } }, "$abc$24763$n4191": { "hide_name": 1, "bits": [ 3026 ], "attributes": { } }, "$abc$24763$n4192": { "hide_name": 1, "bits": [ 3010 ], "attributes": { } }, "$abc$24763$n4194": { "hide_name": 1, "bits": [ 3030 ], "attributes": { } }, "$abc$24763$n4195": { "hide_name": 1, "bits": [ 3032 ], "attributes": { } }, "$abc$24763$n4196": { "hide_name": 1, "bits": [ 3034 ], "attributes": { } }, "$abc$24763$n4197": { "hide_name": 1, "bits": [ 3035 ], "attributes": { } }, "$abc$24763$n4198": { "hide_name": 1, "bits": [ 3037 ], "attributes": { } }, "$abc$24763$n4199": { "hide_name": 1, "bits": [ 3038 ], "attributes": { } }, "$abc$24763$n4200": { "hide_name": 1, "bits": [ 3039 ], "attributes": { } }, "$abc$24763$n4201": { "hide_name": 1, "bits": [ 3036 ], "attributes": { } }, "$abc$24763$n4202": { "hide_name": 1, "bits": [ 3045 ], "attributes": { } }, "$abc$24763$n4203": { "hide_name": 1, "bits": [ 3046 ], "attributes": { } }, "$abc$24763$n4204": { "hide_name": 1, "bits": [ 3047 ], "attributes": { } }, "$abc$24763$n4205": { "hide_name": 1, "bits": [ 3031 ], "attributes": { } }, "$abc$24763$n4207": { "hide_name": 1, "bits": [ 3051 ], "attributes": { } }, "$abc$24763$n4208": { "hide_name": 1, "bits": [ 3053 ], "attributes": { } }, "$abc$24763$n4209": { "hide_name": 1, "bits": [ 3055 ], "attributes": { } }, "$abc$24763$n4210": { "hide_name": 1, "bits": [ 3056 ], "attributes": { } }, "$abc$24763$n4211": { "hide_name": 1, "bits": [ 3058 ], "attributes": { } }, "$abc$24763$n4212": { "hide_name": 1, "bits": [ 3059 ], "attributes": { } }, "$abc$24763$n4213": { "hide_name": 1, "bits": [ 3060 ], "attributes": { } }, "$abc$24763$n4214": { "hide_name": 1, "bits": [ 3057 ], "attributes": { } }, "$abc$24763$n4215": { "hide_name": 1, "bits": [ 3066 ], "attributes": { } }, "$abc$24763$n4216": { "hide_name": 1, "bits": [ 3067 ], "attributes": { } }, "$abc$24763$n4217": { "hide_name": 1, "bits": [ 3068 ], "attributes": { } }, "$abc$24763$n4218": { "hide_name": 1, "bits": [ 3052 ], "attributes": { } }, "$abc$24763$n4220": { "hide_name": 1, "bits": [ 3072 ], "attributes": { } }, "$abc$24763$n4221": { "hide_name": 1, "bits": [ 3074 ], "attributes": { } }, "$abc$24763$n4222": { "hide_name": 1, "bits": [ 3076 ], "attributes": { } }, "$abc$24763$n4223": { "hide_name": 1, "bits": [ 3077 ], "attributes": { } }, "$abc$24763$n4224": { "hide_name": 1, "bits": [ 3079 ], "attributes": { } }, "$abc$24763$n4225": { "hide_name": 1, "bits": [ 3080 ], "attributes": { } }, "$abc$24763$n4226": { "hide_name": 1, "bits": [ 3078 ], "attributes": { } }, "$abc$24763$n4227": { "hide_name": 1, "bits": [ 3081 ], "attributes": { } }, "$abc$24763$n4228": { "hide_name": 1, "bits": [ 3073 ], "attributes": { } }, "$abc$24763$n4230": { "hide_name": 1, "bits": [ 3082 ], "attributes": { } }, "$abc$24763$n4231": { "hide_name": 1, "bits": [ 3084 ], "attributes": { } }, "$abc$24763$n4232": { "hide_name": 1, "bits": [ 3086 ], "attributes": { } }, "$abc$24763$n4233": { "hide_name": 1, "bits": [ 3087 ], "attributes": { } }, "$abc$24763$n4234": { "hide_name": 1, "bits": [ 3088 ], "attributes": { } }, "$abc$24763$n4235": { "hide_name": 1, "bits": [ 3089 ], "attributes": { } }, "$abc$24763$n4236": { "hide_name": 1, "bits": [ 3083 ], "attributes": { } }, "$abc$24763$n4238": { "hide_name": 1, "bits": [ 3090 ], "attributes": { } }, "$abc$24763$n4239": { "hide_name": 1, "bits": [ 3091 ], "attributes": { } }, "$abc$24763$n4240": { "hide_name": 1, "bits": [ 3093 ], "attributes": { } }, "$abc$24763$n4241": { "hide_name": 1, "bits": [ 3094 ], "attributes": { } }, "$abc$24763$n4242": { "hide_name": 1, "bits": [ 3095 ], "attributes": { } }, "$abc$24763$n4243": { "hide_name": 1, "bits": [ 3096 ], "attributes": { } }, "$abc$24763$n4245": { "hide_name": 1, "bits": [ 2380 ], "attributes": { } }, "$abc$24763$n4245_1": { "hide_name": 1, "bits": [ 3097 ], "attributes": { } }, "$abc$24763$n4246": { "hide_name": 1, "bits": [ 3098 ], "attributes": { } }, "$abc$24763$n4247": { "hide_name": 1, "bits": [ 3100 ], "attributes": { } }, "$abc$24763$n4248": { "hide_name": 1, "bits": [ 3101 ], "attributes": { } }, "$abc$24763$n4249": { "hide_name": 1, "bits": [ 3102 ], "attributes": { } }, "$abc$24763$n4250": { "hide_name": 1, "bits": [ 3103 ], "attributes": { } }, "$abc$24763$n4252": { "hide_name": 1, "bits": [ 3104 ], "attributes": { } }, "$abc$24763$n4253": { "hide_name": 1, "bits": [ 3105 ], "attributes": { } }, "$abc$24763$n4254": { "hide_name": 1, "bits": [ 3107 ], "attributes": { } }, "$abc$24763$n4255": { "hide_name": 1, "bits": [ 3108 ], "attributes": { } }, "$abc$24763$n4256": { "hide_name": 1, "bits": [ 3109 ], "attributes": { } }, "$abc$24763$n4257": { "hide_name": 1, "bits": [ 3110 ], "attributes": { } }, "$abc$24763$n4259": { "hide_name": 1, "bits": [ 3111 ], "attributes": { } }, "$abc$24763$n4260": { "hide_name": 1, "bits": [ 3112 ], "attributes": { } }, "$abc$24763$n4261": { "hide_name": 1, "bits": [ 3114 ], "attributes": { } }, "$abc$24763$n4262": { "hide_name": 1, "bits": [ 3115 ], "attributes": { } }, "$abc$24763$n4263": { "hide_name": 1, "bits": [ 3116 ], "attributes": { } }, "$abc$24763$n4264": { "hide_name": 1, "bits": [ 3117 ], "attributes": { } }, "$abc$24763$n4266": { "hide_name": 1, "bits": [ 3118 ], "attributes": { } }, "$abc$24763$n4267": { "hide_name": 1, "bits": [ 3119 ], "attributes": { } }, "$abc$24763$n4268": { "hide_name": 1, "bits": [ 3121 ], "attributes": { } }, "$abc$24763$n4269": { "hide_name": 1, "bits": [ 3122 ], "attributes": { } }, "$abc$24763$n4270": { "hide_name": 1, "bits": [ 3123 ], "attributes": { } }, "$abc$24763$n4271": { "hide_name": 1, "bits": [ 3124 ], "attributes": { } }, "$abc$24763$n4273": { "hide_name": 1, "bits": [ 3125 ], "attributes": { } }, "$abc$24763$n4274": { "hide_name": 1, "bits": [ 3126 ], "attributes": { } }, "$abc$24763$n4275": { "hide_name": 1, "bits": [ 3128 ], "attributes": { } }, "$abc$24763$n4276": { "hide_name": 1, "bits": [ 3129 ], "attributes": { } }, "$abc$24763$n4277": { "hide_name": 1, "bits": [ 3130 ], "attributes": { } }, "$abc$24763$n4278": { "hide_name": 1, "bits": [ 3131 ], "attributes": { } }, "$abc$24763$n4280": { "hide_name": 1, "bits": [ 3132 ], "attributes": { } }, "$abc$24763$n4281": { "hide_name": 1, "bits": [ 3133 ], "attributes": { } }, "$abc$24763$n4282": { "hide_name": 1, "bits": [ 3135 ], "attributes": { } }, "$abc$24763$n4283": { "hide_name": 1, "bits": [ 3136 ], "attributes": { } }, "$abc$24763$n4284": { "hide_name": 1, "bits": [ 3137 ], "attributes": { } }, "$abc$24763$n4285": { "hide_name": 1, "bits": [ 3138 ], "attributes": { } }, "$abc$24763$n4287": { "hide_name": 1, "bits": [ 3139 ], "attributes": { } }, "$abc$24763$n4288": { "hide_name": 1, "bits": [ 3140 ], "attributes": { } }, "$abc$24763$n4289": { "hide_name": 1, "bits": [ 3142 ], "attributes": { } }, "$abc$24763$n429": { "hide_name": 1, "bits": [ 363 ], "attributes": { } }, "$abc$24763$n4290": { "hide_name": 1, "bits": [ 3143 ], "attributes": { } }, "$abc$24763$n4291": { "hide_name": 1, "bits": [ 3144 ], "attributes": { } }, "$abc$24763$n4292": { "hide_name": 1, "bits": [ 3145 ], "attributes": { } }, "$abc$24763$n4294": { "hide_name": 1, "bits": [ 3146 ], "attributes": { } }, "$abc$24763$n4295": { "hide_name": 1, "bits": [ 3147 ], "attributes": { } }, "$abc$24763$n4296": { "hide_name": 1, "bits": [ 3149 ], "attributes": { } }, "$abc$24763$n4297": { "hide_name": 1, "bits": [ 3150 ], "attributes": { } }, "$abc$24763$n4298": { "hide_name": 1, "bits": [ 3151 ], "attributes": { } }, "$abc$24763$n4299": { "hide_name": 1, "bits": [ 3152 ], "attributes": { } }, "$abc$24763$n4301": { "hide_name": 1, "bits": [ 3153 ], "attributes": { } }, "$abc$24763$n4302": { "hide_name": 1, "bits": [ 3154 ], "attributes": { } }, "$abc$24763$n4303": { "hide_name": 1, "bits": [ 3156 ], "attributes": { } }, "$abc$24763$n4304": { "hide_name": 1, "bits": [ 3157 ], "attributes": { } }, "$abc$24763$n4305": { "hide_name": 1, "bits": [ 3158 ], "attributes": { } }, "$abc$24763$n4306": { "hide_name": 1, "bits": [ 3159 ], "attributes": { } }, "$abc$24763$n4308": { "hide_name": 1, "bits": [ 3160 ], "attributes": { } }, "$abc$24763$n4309": { "hide_name": 1, "bits": [ 3161 ], "attributes": { } }, "$abc$24763$n4310": { "hide_name": 1, "bits": [ 3163 ], "attributes": { } }, "$abc$24763$n4311": { "hide_name": 1, "bits": [ 3164 ], "attributes": { } }, "$abc$24763$n4312": { "hide_name": 1, "bits": [ 3165 ], "attributes": { } }, "$abc$24763$n4313": { "hide_name": 1, "bits": [ 3166 ], "attributes": { } }, "$abc$24763$n4315": { "hide_name": 1, "bits": [ 3167 ], "attributes": { } }, "$abc$24763$n4316": { "hide_name": 1, "bits": [ 3168 ], "attributes": { } }, "$abc$24763$n4317": { "hide_name": 1, "bits": [ 3170 ], "attributes": { } }, "$abc$24763$n4318": { "hide_name": 1, "bits": [ 3171 ], "attributes": { } }, "$abc$24763$n4319": { "hide_name": 1, "bits": [ 3172 ], "attributes": { } }, "$abc$24763$n4320": { "hide_name": 1, "bits": [ 3173 ], "attributes": { } }, "$abc$24763$n4322": { "hide_name": 1, "bits": [ 3174 ], "attributes": { } }, "$abc$24763$n4323": { "hide_name": 1, "bits": [ 3175 ], "attributes": { } }, "$abc$24763$n4324": { "hide_name": 1, "bits": [ 3177 ], "attributes": { } }, "$abc$24763$n4325": { "hide_name": 1, "bits": [ 3178 ], "attributes": { } }, "$abc$24763$n4326": { "hide_name": 1, "bits": [ 3179 ], "attributes": { } }, "$abc$24763$n4327": { "hide_name": 1, "bits": [ 3180 ], "attributes": { } }, "$abc$24763$n4357": { "hide_name": 1, "bits": [ 3209 ], "attributes": { } }, "$abc$24763$n4358_1": { "hide_name": 1, "bits": [ 3210 ], "attributes": { } }, "$abc$24763$n4359": { "hide_name": 1, "bits": [ 3211 ], "attributes": { } }, "$abc$24763$n4360": { "hide_name": 1, "bits": [ 3213 ], "attributes": { } }, "$abc$24763$n4381": { "hide_name": 1, "bits": [ 3250 ], "attributes": { } }, "$abc$24763$n4382_1": { "hide_name": 1, "bits": [ 3249 ], "attributes": { } }, "$abc$24763$n4384": { "hide_name": 1, "bits": [ 3256 ], "attributes": { } }, "$abc$24763$n4385_1": { "hide_name": 1, "bits": [ 3255 ], "attributes": { } }, "$abc$24763$n4387": { "hide_name": 1, "bits": [ 3262 ], "attributes": { } }, "$abc$24763$n4388_1": { "hide_name": 1, "bits": [ 3261 ], "attributes": { } }, "$abc$24763$n4390": { "hide_name": 1, "bits": [ 3268 ], "attributes": { } }, "$abc$24763$n4391_1": { "hide_name": 1, "bits": [ 3267 ], "attributes": { } }, "$abc$24763$n4393": { "hide_name": 1, "bits": [ 3274 ], "attributes": { } }, "$abc$24763$n4394_1": { "hide_name": 1, "bits": [ 3273 ], "attributes": { } }, "$abc$24763$n4396": { "hide_name": 1, "bits": [ 3280 ], "attributes": { } }, "$abc$24763$n4397_1": { "hide_name": 1, "bits": [ 3279 ], "attributes": { } }, "$abc$24763$n4399": { "hide_name": 1, "bits": [ 3286 ], "attributes": { } }, "$abc$24763$n4400_1": { "hide_name": 1, "bits": [ 3285 ], "attributes": { } }, "$abc$24763$n4402": { "hide_name": 1, "bits": [ 3292 ], "attributes": { } }, "$abc$24763$n4403_1": { "hide_name": 1, "bits": [ 3291 ], "attributes": { } }, "$abc$24763$n4405_1": { "hide_name": 1, "bits": [ 3297 ], "attributes": { } }, "$abc$24763$n4406_1": { "hide_name": 1, "bits": [ 3299 ], "attributes": { } }, "$abc$24763$n4408_1": { "hide_name": 1, "bits": [ 3301 ], "attributes": { } }, "$abc$24763$n4409_1": { "hide_name": 1, "bits": [ 3303 ], "attributes": { } }, "$abc$24763$n4411_1": { "hide_name": 1, "bits": [ 3305 ], "attributes": { } }, "$abc$24763$n4412_1": { "hide_name": 1, "bits": [ 3307 ], "attributes": { } }, "$abc$24763$n4414_1": { "hide_name": 1, "bits": [ 3309 ], "attributes": { } }, "$abc$24763$n4415_1": { "hide_name": 1, "bits": [ 3311 ], "attributes": { } }, "$abc$24763$n4417_1": { "hide_name": 1, "bits": [ 3313 ], "attributes": { } }, "$abc$24763$n4418_1": { "hide_name": 1, "bits": [ 3315 ], "attributes": { } }, "$abc$24763$n4420_1": { "hide_name": 1, "bits": [ 3317 ], "attributes": { } }, "$abc$24763$n4421_1": { "hide_name": 1, "bits": [ 3319 ], "attributes": { } }, "$abc$24763$n4423_1": { "hide_name": 1, "bits": [ 3321 ], "attributes": { } }, "$abc$24763$n4424_1": { "hide_name": 1, "bits": [ 3323 ], "attributes": { } }, "$abc$24763$n4426_1": { "hide_name": 1, "bits": [ 3325 ], "attributes": { } }, "$abc$24763$n4427_1": { "hide_name": 1, "bits": [ 3327 ], "attributes": { } }, "$abc$24763$n4429_1": { "hide_name": 1, "bits": [ 3329 ], "attributes": { } }, "$abc$24763$n4431_1": { "hide_name": 1, "bits": [ 3331 ], "attributes": { } }, "$abc$24763$n4433_1": { "hide_name": 1, "bits": [ 3333 ], "attributes": { } }, "$abc$24763$n4435_1": { "hide_name": 1, "bits": [ 3335 ], "attributes": { } }, "$abc$24763$n4437": { "hide_name": 1, "bits": [ 3337 ], "attributes": { } }, "$abc$24763$n4439": { "hide_name": 1, "bits": [ 3339 ], "attributes": { } }, "$abc$24763$n4441": { "hide_name": 1, "bits": [ 3341 ], "attributes": { } }, "$abc$24763$n4443": { "hide_name": 1, "bits": [ 3343 ], "attributes": { } }, "$abc$24763$n4485": { "hide_name": 1, "bits": [ 3386 ], "attributes": { } }, "$abc$24763$n4486_1": { "hide_name": 1, "bits": [ 3385 ], "attributes": { } }, "$abc$24763$n4488": { "hide_name": 1, "bits": [ 3395 ], "attributes": { } }, "$abc$24763$n4489_1": { "hide_name": 1, "bits": [ 3394 ], "attributes": { } }, "$abc$24763$n4491": { "hide_name": 1, "bits": [ 3402 ], "attributes": { } }, "$abc$24763$n4492_1": { "hide_name": 1, "bits": [ 3401 ], "attributes": { } }, "$abc$24763$n4494": { "hide_name": 1, "bits": [ 3409 ], "attributes": { } }, "$abc$24763$n4495_1": { "hide_name": 1, "bits": [ 3408 ], "attributes": { } }, "$abc$24763$n4497": { "hide_name": 1, "bits": [ 3416 ], "attributes": { } }, "$abc$24763$n4498_1": { "hide_name": 1, "bits": [ 3415 ], "attributes": { } }, "$abc$24763$n4500": { "hide_name": 1, "bits": [ 3423 ], "attributes": { } }, "$abc$24763$n4501_1": { "hide_name": 1, "bits": [ 3422 ], "attributes": { } }, "$abc$24763$n4503": { "hide_name": 1, "bits": [ 3430 ], "attributes": { } }, "$abc$24763$n4504_1": { "hide_name": 1, "bits": [ 3429 ], "attributes": { } }, "$abc$24763$n4506": { "hide_name": 1, "bits": [ 3437 ], "attributes": { } }, "$abc$24763$n4507_1": { "hide_name": 1, "bits": [ 3436 ], "attributes": { } }, "$abc$24763$n4509": { "hide_name": 1, "bits": [ 3444 ], "attributes": { } }, "$abc$24763$n4510_1": { "hide_name": 1, "bits": [ 3443 ], "attributes": { } }, "$abc$24763$n4512": { "hide_name": 1, "bits": [ 3451 ], "attributes": { } }, "$abc$24763$n4513_1": { "hide_name": 1, "bits": [ 3450 ], "attributes": { } }, "$abc$24763$n4515": { "hide_name": 1, "bits": [ 3458 ], "attributes": { } }, "$abc$24763$n4516_1": { "hide_name": 1, "bits": [ 3457 ], "attributes": { } }, "$abc$24763$n4518": { "hide_name": 1, "bits": [ 3465 ], "attributes": { } }, "$abc$24763$n4519_1": { "hide_name": 1, "bits": [ 3464 ], "attributes": { } }, "$abc$24763$n4521": { "hide_name": 1, "bits": [ 3472 ], "attributes": { } }, "$abc$24763$n4522_1": { "hide_name": 1, "bits": [ 3471 ], "attributes": { } }, "$abc$24763$n4524": { "hide_name": 1, "bits": [ 3479 ], "attributes": { } }, "$abc$24763$n4525_1": { "hide_name": 1, "bits": [ 3478 ], "attributes": { } }, "$abc$24763$n4527": { "hide_name": 1, "bits": [ 3486 ], "attributes": { } }, "$abc$24763$n4528_1": { "hide_name": 1, "bits": [ 3485 ], "attributes": { } }, "$abc$24763$n4530": { "hide_name": 1, "bits": [ 3493 ], "attributes": { } }, "$abc$24763$n4531_1": { "hide_name": 1, "bits": [ 3492 ], "attributes": { } }, "$abc$24763$n4533_1": { "hide_name": 1, "bits": [ 3500 ], "attributes": { } }, "$abc$24763$n4534_1": { "hide_name": 1, "bits": [ 3499 ], "attributes": { } }, "$abc$24763$n4536_1": { "hide_name": 1, "bits": [ 3503 ], "attributes": { } }, "$abc$24763$n4537_1": { "hide_name": 1, "bits": [ 3502 ], "attributes": { } }, "$abc$24763$n4539_1": { "hide_name": 1, "bits": [ 3506 ], "attributes": { } }, "$abc$24763$n4540_1": { "hide_name": 1, "bits": [ 3505 ], "attributes": { } }, "$abc$24763$n4542_1": { "hide_name": 1, "bits": [ 3509 ], "attributes": { } }, "$abc$24763$n4543_1": { "hide_name": 1, "bits": [ 3508 ], "attributes": { } }, "$abc$24763$n4545_1": { "hide_name": 1, "bits": [ 3512 ], "attributes": { } }, "$abc$24763$n4546_1": { "hide_name": 1, "bits": [ 3511 ], "attributes": { } }, "$abc$24763$n4548_1": { "hide_name": 1, "bits": [ 3515 ], "attributes": { } }, "$abc$24763$n4549_1": { "hide_name": 1, "bits": [ 3514 ], "attributes": { } }, "$abc$24763$n455": { "hide_name": 1, "bits": [ 396 ], "attributes": { } }, "$abc$24763$n4551_1": { "hide_name": 1, "bits": [ 3518 ], "attributes": { } }, "$abc$24763$n4552_1": { "hide_name": 1, "bits": [ 3517 ], "attributes": { } }, "$abc$24763$n4554_1": { "hide_name": 1, "bits": [ 3521 ], "attributes": { } }, "$abc$24763$n4555_1": { "hide_name": 1, "bits": [ 3520 ], "attributes": { } }, "$abc$24763$n4557_1": { "hide_name": 1, "bits": [ 3524 ], "attributes": { } }, "$abc$24763$n4558_1": { "hide_name": 1, "bits": [ 3523 ], "attributes": { } }, "$abc$24763$n4560_1": { "hide_name": 1, "bits": [ 3527 ], "attributes": { } }, "$abc$24763$n4561_1": { "hide_name": 1, "bits": [ 3526 ], "attributes": { } }, "$abc$24763$n4563_1": { "hide_name": 1, "bits": [ 3530 ], "attributes": { } }, "$abc$24763$n4564_1": { "hide_name": 1, "bits": [ 3529 ], "attributes": { } }, "$abc$24763$n4566": { "hide_name": 1, "bits": [ 3533 ], "attributes": { } }, "$abc$24763$n4567": { "hide_name": 1, "bits": [ 3532 ], "attributes": { } }, "$abc$24763$n4569": { "hide_name": 1, "bits": [ 3536 ], "attributes": { } }, "$abc$24763$n4570": { "hide_name": 1, "bits": [ 3535 ], "attributes": { } }, "$abc$24763$n4572": { "hide_name": 1, "bits": [ 3539 ], "attributes": { } }, "$abc$24763$n4573": { "hide_name": 1, "bits": [ 3538 ], "attributes": { } }, "$abc$24763$n4575": { "hide_name": 1, "bits": [ 3542 ], "attributes": { } }, "$abc$24763$n4576": { "hide_name": 1, "bits": [ 3541 ], "attributes": { } }, "$abc$24763$n4578": { "hide_name": 1, "bits": [ 3545 ], "attributes": { } }, "$abc$24763$n4579": { "hide_name": 1, "bits": [ 3544 ], "attributes": { } }, "$abc$24763$n4639": { "hide_name": 1, "bits": [ 3603 ], "attributes": { } }, "$abc$24763$n4640": { "hide_name": 1, "bits": [ 27 ], "attributes": { } }, "$abc$24763$n4641": { "hide_name": 1, "bits": [ 3604 ], "attributes": { } }, "$abc$24763$n4642": { "hide_name": 1, "bits": [ 3605 ], "attributes": { } }, "$abc$24763$n4643": { "hide_name": 1, "bits": [ 3606 ], "attributes": { } }, "$abc$24763$n4645": { "hide_name": 1, "bits": [ 3608 ], "attributes": { } }, "$abc$24763$n4646": { "hide_name": 1, "bits": [ 3609 ], "attributes": { } }, "$abc$24763$n4648": { "hide_name": 1, "bits": [ 3611 ], "attributes": { } }, "$abc$24763$n4650": { "hide_name": 1, "bits": [ 3614 ], "attributes": { } }, "$abc$24763$n4652": { "hide_name": 1, "bits": [ 3618 ], "attributes": { } }, "$abc$24763$n4654": { "hide_name": 1, "bits": [ 3622 ], "attributes": { } }, "$abc$24763$n4656": { "hide_name": 1, "bits": [ 3626 ], "attributes": { } }, "$abc$24763$n4658": { "hide_name": 1, "bits": [ 3630 ], "attributes": { } }, "$abc$24763$n4660": { "hide_name": 1, "bits": [ 3634 ], "attributes": { } }, "$abc$24763$n4662": { "hide_name": 1, "bits": [ 3638 ], "attributes": { } }, "$abc$24763$n4664": { "hide_name": 1, "bits": [ 3642 ], "attributes": { } }, "$abc$24763$n4666": { "hide_name": 1, "bits": [ 3646 ], "attributes": { } }, "$abc$24763$n4668": { "hide_name": 1, "bits": [ 3650 ], "attributes": { } }, "$abc$24763$n4670": { "hide_name": 1, "bits": [ 3654 ], "attributes": { } }, "$abc$24763$n4672": { "hide_name": 1, "bits": [ 3658 ], "attributes": { } }, "$abc$24763$n4674": { "hide_name": 1, "bits": [ 3662 ], "attributes": { } }, "$abc$24763$n4676": { "hide_name": 1, "bits": [ 3666 ], "attributes": { } }, "$abc$24763$n4678": { "hide_name": 1, "bits": [ 3670 ], "attributes": { } }, "$abc$24763$n4679": { "hide_name": 1, "bits": [ 3671 ], "attributes": { } }, "$abc$24763$n4681": { "hide_name": 1, "bits": [ 3675 ], "attributes": { } }, "$abc$24763$n4682": { "hide_name": 1, "bits": [ 3676 ], "attributes": { } }, "$abc$24763$n4684": { "hide_name": 1, "bits": [ 3680 ], "attributes": { } }, "$abc$24763$n4686": { "hide_name": 1, "bits": [ 3684 ], "attributes": { } }, "$abc$24763$n4688": { "hide_name": 1, "bits": [ 3687 ], "attributes": { } }, "$abc$24763$n4689": { "hide_name": 1, "bits": [ 3688 ], "attributes": { } }, "$abc$24763$n4690": { "hide_name": 1, "bits": [ 1856 ], "attributes": { } }, "$abc$24763$n4691": { "hide_name": 1, "bits": [ 3689 ], "attributes": { } }, "$abc$24763$n4692": { "hide_name": 1, "bits": [ 1970 ], "attributes": { } }, "$abc$24763$n4693": { "hide_name": 1, "bits": [ 3690 ], "attributes": { } }, "$abc$24763$n4695": { "hide_name": 1, "bits": [ 3692 ], "attributes": { } }, "$abc$24763$n4697": { "hide_name": 1, "bits": [ 3694 ], "attributes": { } }, "$abc$24763$n4699": { "hide_name": 1, "bits": [ 3696 ], "attributes": { } }, "$abc$24763$n4700": { "hide_name": 1, "bits": [ 2163 ], "attributes": { } }, "$abc$24763$n4701": { "hide_name": 1, "bits": [ 3697 ], "attributes": { } }, "$abc$24763$n4702": { "hide_name": 1, "bits": [ 3698 ], "attributes": { } }, "$abc$24763$n4703": { "hide_name": 1, "bits": [ 3699 ], "attributes": { } }, "$abc$24763$n4704": { "hide_name": 1, "bits": [ 2100 ], "attributes": { } }, "$abc$24763$n4705": { "hide_name": 1, "bits": [ 3700 ], "attributes": { } }, "$abc$24763$n4706": { "hide_name": 1, "bits": [ 3701 ], "attributes": { } }, "$abc$24763$n4707": { "hide_name": 1, "bits": [ 3702 ], "attributes": { } }, "$abc$24763$n4708": { "hide_name": 1, "bits": [ 3703 ], "attributes": { } }, "$abc$24763$n476": { "hide_name": 1, "bits": [ 397 ], "attributes": { } }, "$abc$24763$n5078": { "hide_name": 1, "bits": [ 2381 ], "attributes": { } }, "$abc$24763$n5144": { "hide_name": 1, "bits": [ 2382 ], "attributes": { } }, "$abc$24763$n5147": { "hide_name": 1, "bits": [ 2386 ], "attributes": { } }, "$abc$24763$n5150": { "hide_name": 1, "bits": [ 2407 ], "attributes": { } }, "$abc$24763$n5153": { "hide_name": 1, "bits": [ 2428 ], "attributes": { } }, "$abc$24763$n5156": { "hide_name": 1, "bits": [ 2449 ], "attributes": { } }, "$abc$24763$n5159": { "hide_name": 1, "bits": [ 2470 ], "attributes": { } }, "$abc$24763$n5162": { "hide_name": 1, "bits": [ 2491 ], "attributes": { } }, "$abc$24763$n5165": { "hide_name": 1, "bits": [ 2512 ], "attributes": { } }, "$abc$24763$n5168": { "hide_name": 1, "bits": [ 2533 ], "attributes": { } }, "$abc$24763$n5171": { "hide_name": 1, "bits": [ 2554 ], "attributes": { } }, "$abc$24763$n5174": { "hide_name": 1, "bits": [ 2575 ], "attributes": { } }, "$abc$24763$n5177": { "hide_name": 1, "bits": [ 2596 ], "attributes": { } }, "$abc$24763$n5180": { "hide_name": 1, "bits": [ 2617 ], "attributes": { } }, "$abc$24763$n5183": { "hide_name": 1, "bits": [ 2638 ], "attributes": { } }, "$abc$24763$n5186": { "hide_name": 1, "bits": [ 2659 ], "attributes": { } }, "$abc$24763$n5189": { "hide_name": 1, "bits": [ 2669 ], "attributes": { } }, "$abc$24763$n5192": { "hide_name": 1, "bits": [ 2676 ], "attributes": { } }, "$abc$24763$n5195": { "hide_name": 1, "bits": [ 2683 ], "attributes": { } }, "$abc$24763$n5198": { "hide_name": 1, "bits": [ 2690 ], "attributes": { } }, "$abc$24763$n5201": { "hide_name": 1, "bits": [ 2697 ], "attributes": { } }, "$abc$24763$n5204": { "hide_name": 1, "bits": [ 2704 ], "attributes": { } }, "$abc$24763$n5207": { "hide_name": 1, "bits": [ 2711 ], "attributes": { } }, "$abc$24763$n5210": { "hide_name": 1, "bits": [ 2718 ], "attributes": { } }, "$abc$24763$n5213": { "hide_name": 1, "bits": [ 2725 ], "attributes": { } }, "$abc$24763$n5216": { "hide_name": 1, "bits": [ 2732 ], "attributes": { } }, "$abc$24763$n5219": { "hide_name": 1, "bits": [ 2739 ], "attributes": { } }, "$abc$24763$n5222": { "hide_name": 1, "bits": [ 2746 ], "attributes": { } }, "$abc$24763$n5225": { "hide_name": 1, "bits": [ 2753 ], "attributes": { } }, "$abc$24763$n5228": { "hide_name": 1, "bits": [ 2760 ], "attributes": { } }, "$abc$24763$n5231": { "hide_name": 1, "bits": [ 2765 ], "attributes": { } }, "$abc$24763$n5233": { "hide_name": 1, "bits": [ 2766 ], "attributes": { } }, "$abc$24763$n5235": { "hide_name": 1, "bits": [ 2767 ], "attributes": { } }, "$abc$24763$n5237": { "hide_name": 1, "bits": [ 2768 ], "attributes": { } }, "$abc$24763$n5239": { "hide_name": 1, "bits": [ 2769 ], "attributes": { } }, "$abc$24763$n5241": { "hide_name": 1, "bits": [ 2770 ], "attributes": { } }, "$abc$24763$n5243": { "hide_name": 1, "bits": [ 2771 ], "attributes": { } }, "$abc$24763$n5245": { "hide_name": 1, "bits": [ 2772 ], "attributes": { } }, "$abc$24763$n5247": { "hide_name": 1, "bits": [ 2773 ], "attributes": { } }, "$abc$24763$n5249": { "hide_name": 1, "bits": [ 2774 ], "attributes": { } }, "$abc$24763$n5251": { "hide_name": 1, "bits": [ 2775 ], "attributes": { } }, "$abc$24763$n5253": { "hide_name": 1, "bits": [ 2776 ], "attributes": { } }, "$abc$24763$n5255": { "hide_name": 1, "bits": [ 2777 ], "attributes": { } }, "$abc$24763$n5257": { "hide_name": 1, "bits": [ 2778 ], "attributes": { } }, "$abc$24763$n5259": { "hide_name": 1, "bits": [ 2779 ], "attributes": { } }, "$abc$24763$n5261": { "hide_name": 1, "bits": [ 2780 ], "attributes": { } }, "$abc$24763$n5263": { "hide_name": 1, "bits": [ 2781 ], "attributes": { } }, "$abc$24763$n5265": { "hide_name": 1, "bits": [ 2782 ], "attributes": { } }, "$abc$24763$n5267": { "hide_name": 1, "bits": [ 2783 ], "attributes": { } }, "$abc$24763$n5269": { "hide_name": 1, "bits": [ 2784 ], "attributes": { } }, "$abc$24763$n5271": { "hide_name": 1, "bits": [ 2785 ], "attributes": { } }, "$abc$24763$n5273": { "hide_name": 1, "bits": [ 2786 ], "attributes": { } }, "$abc$24763$n5275": { "hide_name": 1, "bits": [ 2787 ], "attributes": { } }, "$abc$24763$n5277": { "hide_name": 1, "bits": [ 2788 ], "attributes": { } }, "$abc$24763$n5279": { "hide_name": 1, "bits": [ 2789 ], "attributes": { } }, "$abc$24763$n528": { "hide_name": 1, "bits": [ 401 ], "attributes": { } }, "$abc$24763$n5281": { "hide_name": 1, "bits": [ 2790 ], "attributes": { } }, "$abc$24763$n5283": { "hide_name": 1, "bits": [ 2791 ], "attributes": { } }, "$abc$24763$n5285": { "hide_name": 1, "bits": [ 2792 ], "attributes": { } }, "$abc$24763$n5287": { "hide_name": 1, "bits": [ 2794 ], "attributes": { } }, "$abc$24763$n5290": { "hide_name": 1, "bits": [ 2798 ], "attributes": { } }, "$abc$24763$n5293": { "hide_name": 1, "bits": [ 2802 ], "attributes": { } }, "$abc$24763$n5296": { "hide_name": 1, "bits": [ 2823 ], "attributes": { } }, "$abc$24763$n5299": { "hide_name": 1, "bits": [ 2844 ], "attributes": { } }, "$abc$24763$n5302": { "hide_name": 1, "bits": [ 2865 ], "attributes": { } }, "$abc$24763$n5305": { "hide_name": 1, "bits": [ 2886 ], "attributes": { } }, "$abc$24763$n5308": { "hide_name": 1, "bits": [ 2907 ], "attributes": { } }, "$abc$24763$n5311": { "hide_name": 1, "bits": [ 2928 ], "attributes": { } }, "$abc$24763$n5314": { "hide_name": 1, "bits": [ 2949 ], "attributes": { } }, "$abc$24763$n5317": { "hide_name": 1, "bits": [ 2970 ], "attributes": { } }, "$abc$24763$n5320": { "hide_name": 1, "bits": [ 2991 ], "attributes": { } }, "$abc$24763$n5323": { "hide_name": 1, "bits": [ 3012 ], "attributes": { } }, "$abc$24763$n5326": { "hide_name": 1, "bits": [ 3033 ], "attributes": { } }, "$abc$24763$n5329": { "hide_name": 1, "bits": [ 3054 ], "attributes": { } }, "$abc$24763$n533": { "hide_name": 1, "bits": [ 447 ], "attributes": { } }, "$abc$24763$n5332": { "hide_name": 1, "bits": [ 3075 ], "attributes": { } }, "$abc$24763$n5335": { "hide_name": 1, "bits": [ 3085 ], "attributes": { } }, "$abc$24763$n5338": { "hide_name": 1, "bits": [ 3092 ], "attributes": { } }, "$abc$24763$n5341": { "hide_name": 1, "bits": [ 3099 ], "attributes": { } }, "$abc$24763$n5344": { "hide_name": 1, "bits": [ 3106 ], "attributes": { } }, "$abc$24763$n5347": { "hide_name": 1, "bits": [ 3113 ], "attributes": { } }, "$abc$24763$n5350": { "hide_name": 1, "bits": [ 3120 ], "attributes": { } }, "$abc$24763$n5353": { "hide_name": 1, "bits": [ 3127 ], "attributes": { } }, "$abc$24763$n5356": { "hide_name": 1, "bits": [ 3134 ], "attributes": { } }, "$abc$24763$n5359": { "hide_name": 1, "bits": [ 3141 ], "attributes": { } }, "$abc$24763$n5362": { "hide_name": 1, "bits": [ 3148 ], "attributes": { } }, "$abc$24763$n5365": { "hide_name": 1, "bits": [ 3155 ], "attributes": { } }, "$abc$24763$n5368": { "hide_name": 1, "bits": [ 3162 ], "attributes": { } }, "$abc$24763$n5371": { "hide_name": 1, "bits": [ 3169 ], "attributes": { } }, "$abc$24763$n5374": { "hide_name": 1, "bits": [ 3176 ], "attributes": { } }, "$abc$24763$n5377": { "hide_name": 1, "bits": [ 3181 ], "attributes": { } }, "$abc$24763$n5379": { "hide_name": 1, "bits": [ 3182 ], "attributes": { } }, "$abc$24763$n538": { "hide_name": 1, "bits": [ 449 ], "attributes": { } }, "$abc$24763$n5381": { "hide_name": 1, "bits": [ 3183 ], "attributes": { } }, "$abc$24763$n5383": { "hide_name": 1, "bits": [ 3184 ], "attributes": { } }, "$abc$24763$n5385": { "hide_name": 1, "bits": [ 3185 ], "attributes": { } }, "$abc$24763$n5387": { "hide_name": 1, "bits": [ 3186 ], "attributes": { } }, "$abc$24763$n5389": { "hide_name": 1, "bits": [ 3187 ], "attributes": { } }, "$abc$24763$n5391": { "hide_name": 1, "bits": [ 3188 ], "attributes": { } }, "$abc$24763$n5393": { "hide_name": 1, "bits": [ 3189 ], "attributes": { } }, "$abc$24763$n5395": { "hide_name": 1, "bits": [ 3190 ], "attributes": { } }, "$abc$24763$n5397": { "hide_name": 1, "bits": [ 3191 ], "attributes": { } }, "$abc$24763$n5399": { "hide_name": 1, "bits": [ 3192 ], "attributes": { } }, "$abc$24763$n5401": { "hide_name": 1, "bits": [ 3193 ], "attributes": { } }, "$abc$24763$n5403": { "hide_name": 1, "bits": [ 3194 ], "attributes": { } }, "$abc$24763$n5405": { "hide_name": 1, "bits": [ 3195 ], "attributes": { } }, "$abc$24763$n5407": { "hide_name": 1, "bits": [ 3196 ], "attributes": { } }, "$abc$24763$n5409": { "hide_name": 1, "bits": [ 3197 ], "attributes": { } }, "$abc$24763$n5411": { "hide_name": 1, "bits": [ 3198 ], "attributes": { } }, "$abc$24763$n5413": { "hide_name": 1, "bits": [ 3199 ], "attributes": { } }, "$abc$24763$n5415": { "hide_name": 1, "bits": [ 3200 ], "attributes": { } }, "$abc$24763$n5417": { "hide_name": 1, "bits": [ 3201 ], "attributes": { } }, "$abc$24763$n5419": { "hide_name": 1, "bits": [ 3202 ], "attributes": { } }, "$abc$24763$n5421": { "hide_name": 1, "bits": [ 3203 ], "attributes": { } }, "$abc$24763$n5423": { "hide_name": 1, "bits": [ 3204 ], "attributes": { } }, "$abc$24763$n5425": { "hide_name": 1, "bits": [ 3205 ], "attributes": { } }, "$abc$24763$n5427": { "hide_name": 1, "bits": [ 3206 ], "attributes": { } }, "$abc$24763$n5429": { "hide_name": 1, "bits": [ 3207 ], "attributes": { } }, "$abc$24763$n5431": { "hide_name": 1, "bits": [ 3208 ], "attributes": { } }, "$abc$24763$n5433": { "hide_name": 1, "bits": [ 3212 ], "attributes": { } }, "$abc$24763$n545": { "hide_name": 1, "bits": [ 452 ], "attributes": { } }, "$abc$24763$n5461": { "hide_name": 1, "bits": [ 3214 ], "attributes": { } }, "$abc$24763$n5462": { "hide_name": 1, "bits": [ 3215 ], "attributes": { } }, "$abc$24763$n5466": { "hide_name": 1, "bits": [ 3218 ], "attributes": { } }, "$abc$24763$n5468": { "hide_name": 1, "bits": [ 3220 ], "attributes": { } }, "$abc$24763$n5470": { "hide_name": 1, "bits": [ 3222 ], "attributes": { } }, "$abc$24763$n5472": { "hide_name": 1, "bits": [ 3224 ], "attributes": { } }, "$abc$24763$n5474": { "hide_name": 1, "bits": [ 3226 ], "attributes": { } }, "$abc$24763$n5476": { "hide_name": 1, "bits": [ 3228 ], "attributes": { } }, "$abc$24763$n5478": { "hide_name": 1, "bits": [ 3230 ], "attributes": { } }, "$abc$24763$n5479": { "hide_name": 1, "bits": [ 3231 ], "attributes": { } }, "$abc$24763$n5481": { "hide_name": 1, "bits": [ 3234 ], "attributes": { } }, "$abc$24763$n5483": { "hide_name": 1, "bits": [ 3236 ], "attributes": { } }, "$abc$24763$n5485": { "hide_name": 1, "bits": [ 3238 ], "attributes": { } }, "$abc$24763$n5487": { "hide_name": 1, "bits": [ 3240 ], "attributes": { } }, "$abc$24763$n5489": { "hide_name": 1, "bits": [ 3242 ], "attributes": { } }, "$abc$24763$n5491": { "hide_name": 1, "bits": [ 3244 ], "attributes": { } }, "$abc$24763$n5493": { "hide_name": 1, "bits": [ 3246 ], "attributes": { } }, "$abc$24763$n5494": { "hide_name": 1, "bits": [ 3247 ], "attributes": { } }, "$abc$24763$n5495": { "hide_name": 1, "bits": [ 3248 ], "attributes": { } }, "$abc$24763$n556": { "hide_name": 1, "bits": [ 454 ], "attributes": { } }, "$abc$24763$n561": { "hide_name": 1, "bits": [ 455 ], "attributes": { } }, "$abc$24763$n6": { "hide_name": 1, "bits": [ 25 ], "attributes": { } }, "$abc$24763$n6123": { "hide_name": 1, "bits": [ 3388 ], "attributes": { } }, "$abc$24763$n6124": { "hide_name": 1, "bits": [ 3396 ], "attributes": { } }, "$abc$24763$n6125": { "hide_name": 1, "bits": [ 3403 ], "attributes": { } }, "$abc$24763$n6126": { "hide_name": 1, "bits": [ 3410 ], "attributes": { } }, "$abc$24763$n6127": { "hide_name": 1, "bits": [ 3417 ], "attributes": { } }, "$abc$24763$n6128": { "hide_name": 1, "bits": [ 3424 ], "attributes": { } }, "$abc$24763$n6129": { "hide_name": 1, "bits": [ 3431 ], "attributes": { } }, "$abc$24763$n6130": { "hide_name": 1, "bits": [ 3438 ], "attributes": { } }, "$abc$24763$n6131": { "hide_name": 1, "bits": [ 3445 ], "attributes": { } }, "$abc$24763$n6132": { "hide_name": 1, "bits": [ 3452 ], "attributes": { } }, "$abc$24763$n6133": { "hide_name": 1, "bits": [ 3459 ], "attributes": { } }, "$abc$24763$n6134": { "hide_name": 1, "bits": [ 3466 ], "attributes": { } }, "$abc$24763$n6135": { "hide_name": 1, "bits": [ 3473 ], "attributes": { } }, "$abc$24763$n6136": { "hide_name": 1, "bits": [ 3480 ], "attributes": { } }, "$abc$24763$n6137": { "hide_name": 1, "bits": [ 3487 ], "attributes": { } }, "$abc$24763$n6138": { "hide_name": 1, "bits": [ 3494 ], "attributes": { } }, "$abc$24763$n6139": { "hide_name": 1, "bits": [ 3501 ], "attributes": { } }, "$abc$24763$n6140": { "hide_name": 1, "bits": [ 3504 ], "attributes": { } }, "$abc$24763$n6141": { "hide_name": 1, "bits": [ 3507 ], "attributes": { } }, "$abc$24763$n6142": { "hide_name": 1, "bits": [ 3510 ], "attributes": { } }, "$abc$24763$n6143": { "hide_name": 1, "bits": [ 3513 ], "attributes": { } }, "$abc$24763$n6144": { "hide_name": 1, "bits": [ 3516 ], "attributes": { } }, "$abc$24763$n6145": { "hide_name": 1, "bits": [ 3519 ], "attributes": { } }, "$abc$24763$n6146": { "hide_name": 1, "bits": [ 3522 ], "attributes": { } }, "$abc$24763$n6147": { "hide_name": 1, "bits": [ 3525 ], "attributes": { } }, "$abc$24763$n6148": { "hide_name": 1, "bits": [ 3528 ], "attributes": { } }, "$abc$24763$n6149": { "hide_name": 1, "bits": [ 3531 ], "attributes": { } }, "$abc$24763$n6150": { "hide_name": 1, "bits": [ 3534 ], "attributes": { } }, "$abc$24763$n6151": { "hide_name": 1, "bits": [ 3537 ], "attributes": { } }, "$abc$24763$n6152": { "hide_name": 1, "bits": [ 3540 ], "attributes": { } }, "$abc$24763$n6153": { "hide_name": 1, "bits": [ 3543 ], "attributes": { } }, "$abc$24763$n6154": { "hide_name": 1, "bits": [ 3546 ], "attributes": { } }, "$abc$24763$n6155": { "hide_name": 1, "bits": [ 3547 ], "attributes": { } }, "$abc$24763$n6156": { "hide_name": 1, "bits": [ 3548 ], "attributes": { } }, "$abc$24763$n6157": { "hide_name": 1, "bits": [ 3549 ], "attributes": { } }, "$abc$24763$n6158": { "hide_name": 1, "bits": [ 3550 ], "attributes": { } }, "$abc$24763$n6159": { "hide_name": 1, "bits": [ 3551 ], "attributes": { } }, "$abc$24763$n6160": { "hide_name": 1, "bits": [ 3552 ], "attributes": { } }, "$abc$24763$n6161": { "hide_name": 1, "bits": [ 3553 ], "attributes": { } }, "$abc$24763$n6162": { "hide_name": 1, "bits": [ 3554 ], "attributes": { } }, "$abc$24763$n6163": { "hide_name": 1, "bits": [ 3555 ], "attributes": { } }, "$abc$24763$n6164": { "hide_name": 1, "bits": [ 3556 ], "attributes": { } }, "$abc$24763$n6165": { "hide_name": 1, "bits": [ 3557 ], "attributes": { } }, "$abc$24763$n6166": { "hide_name": 1, "bits": [ 3558 ], "attributes": { } }, "$abc$24763$n6167": { "hide_name": 1, "bits": [ 3559 ], "attributes": { } }, "$abc$24763$n6168": { "hide_name": 1, "bits": [ 3560 ], "attributes": { } }, "$abc$24763$n6169": { "hide_name": 1, "bits": [ 3561 ], "attributes": { } }, "$abc$24763$n6170": { "hide_name": 1, "bits": [ 3562 ], "attributes": { } }, "$abc$24763$n6171": { "hide_name": 1, "bits": [ 3563 ], "attributes": { } }, "$abc$24763$n6172": { "hide_name": 1, "bits": [ 3564 ], "attributes": { } }, "$abc$24763$n6173": { "hide_name": 1, "bits": [ 3565 ], "attributes": { } }, "$abc$24763$n6174": { "hide_name": 1, "bits": [ 3566 ], "attributes": { } }, "$abc$24763$n6175": { "hide_name": 1, "bits": [ 3567 ], "attributes": { } }, "$abc$24763$n6176": { "hide_name": 1, "bits": [ 3568 ], "attributes": { } }, "$abc$24763$n6177": { "hide_name": 1, "bits": [ 3569 ], "attributes": { } }, "$abc$24763$n6178": { "hide_name": 1, "bits": [ 3570 ], "attributes": { } }, "$abc$24763$n6179": { "hide_name": 1, "bits": [ 3571 ], "attributes": { } }, "$abc$24763$n6180": { "hide_name": 1, "bits": [ 3572 ], "attributes": { } }, "$abc$24763$n6181": { "hide_name": 1, "bits": [ 3573 ], "attributes": { } }, "$abc$24763$n6182": { "hide_name": 1, "bits": [ 3574 ], "attributes": { } }, "$abc$24763$n6183": { "hide_name": 1, "bits": [ 3575 ], "attributes": { } }, "$abc$24763$n6184": { "hide_name": 1, "bits": [ 3576 ], "attributes": { } }, "$abc$24763$n6185": { "hide_name": 1, "bits": [ 3577 ], "attributes": { } }, "$abc$24763$n6186": { "hide_name": 1, "bits": [ 3578 ], "attributes": { } }, "$abc$24763$n683": { "hide_name": 1, "bits": [ 456 ], "attributes": { } }, "$abc$24763$n7": { "hide_name": 1, "bits": [ 3581 ], "attributes": { } }, "$abc$24763$n701": { "hide_name": 1, "bits": [ 459 ], "attributes": { } }, "$abc$24763$n746": { "hide_name": 1, "bits": [ 460 ], "attributes": { } }, "$abc$24763$n8": { "hide_name": 1, "bits": [ 58 ], "attributes": { } }, "$abc$24763$n89": { "hide_name": 1, "bits": [ 79 ], "attributes": { } }, "$abc$24763$n9": { "hide_name": 1, "bits": [ 3582 ], "attributes": { } }, "$abc$24763$n937": { "hide_name": 1, "bits": [ 461 ], "attributes": { } }, "$abc$24763$n954": { "hide_name": 1, "bits": [ 465 ], "attributes": { } }, "$abc$24763$n975": { "hide_name": 1, "bits": [ 531 ], "attributes": { } }, "$abc$24763$n995": { "hide_name": 1, "bits": [ 3585 ], "attributes": { } }, "$auto$alumacc.cc:474:replace_alu$1671.C": { "hide_name": 1, "bits": [ 5003, 5004, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3705 ], "attributes": { "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1674.C": { "hide_name": 1, "bits": [ 5005, 3714, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3715 ], "attributes": { "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1677.C": { "hide_name": 1, "bits": [ 5006, 5007, 5008, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3724 ], "attributes": { "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1680.C": { "hide_name": 1, "bits": [ 5009, 5010, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3732, 3733, 3734, 3735, 3736, 3737 ], "attributes": { "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1683.C": { "hide_name": 1, "bits": [ 5011, 3747, 3749, 3751 ], "attributes": { "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1686.C": { "hide_name": 1, "bits": [ 5012, 3754, 3770, 3772, 3774, 3776, 3778, 3780, 3782, 3784, 3755, 3757, 3759, 3761, 3763, 3765, 3767 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1689.C": { "hide_name": 1, "bits": [ 5013, 3786, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3787, 3788, 3789, 3790, 3791, 3792, 3793 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1692.C": { "hide_name": 1, "bits": [ 5014, 3804, 3807, 3810, 3813, 3816, 3819, 3822, 3825 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1695.C": { "hide_name": 1, "bits": [ 5015, 3829, 3831, 3833, 3835, 3837, 3839, 3841, 3843 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1698.C": { "hide_name": 1, "bits": [ 5016, 3847, 3871, 3874, 3877, 3880, 3883, 3886, 3889, 3892, 3849, 3851, 3854, 3857, 3860, 3863, 3866 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1701.C": { "hide_name": 1, "bits": [ 5017, 3895, 3911, 3913, 3915, 3917, 3919, 3921, 3923, 3925, 3896, 3898, 3900, 3902, 3904, 3906, 3908 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1704.C": { "hide_name": 1, "bits": [ 5018, 5019, 3927, 3928, 3929, 3930, 3931, 3932 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1707.C": { "hide_name": 1, "bits": [ 5020, 3933, 3934, 3935, 3936, 3937, 3938, 3939 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1714.C": { "hide_name": 1, "bits": [ 5021, 5022, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1717.C": { "hide_name": 1, "bits": [ 5023, 5024, 3949, 3951, 3953, 3955, 3957, 3959 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1720.C": { "hide_name": 1, "bits": [ 5025, 5026, 5027, 5028, 3975, 3977, 3979, 3981, 3983, 3985, 3962, 3963, 3965, 3967, 3969, 3971, 3973 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1723.C": { "hide_name": 1, "bits": [ 5029, 5030, 3987, 3988, 3989, 3990, 3991, 3992, 5031 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1726.C": { "hide_name": 1, "bits": [ 5032, 5033, 3993, 3995, 3997, 3999, 4001, 4003, 4005 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1729.C": { "hide_name": 1, "bits": [ 5034, 5035, 5036, 4022, 4024, 4026, 4028, 4030, 4032, 4034, 4009, 4010, 4012, 4014, 4016, 4018, 4020 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1732.C": { "hide_name": 1, "bits": [ 5037, 5038, 4036, 4037, 4038, 4039, 4040, 4041 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1735.C": { "hide_name": 1, "bits": [ 5039, 5040, 4042, 4043, 4044, 4045, 4046, 4047, 4048 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1738.C": { "hide_name": 1, "bits": [ 5041, 5042, 4049 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1741.C": { "hide_name": 1, "bits": [ 5043, 5044, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4050, 4051, 4052, 4053 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1744.C": { "hide_name": 1, "bits": [ 5045, 4064, 4088, 4091, 4094, 4097, 4100, 4103, 4106, 4109, 4066, 4068, 4071, 4074, 4077, 4080, 4083 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1747.C": { "hide_name": 1, "bits": [ 5046, 4113, 4129, 4131, 4133, 4135, 4137, 4139, 4141, 4143, 4114, 4116, 4118, 4120, 4122, 4124, 4126 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1750.C": { "hide_name": 1, "bits": [ 5047, 4145, 4157, 4168, 4170, 4171, 4172, 4173, 4174, 4175, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4169 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$1753.C": { "hide_name": 1, "bits": [ 5048, 4176, 4188, 4199, 4201, 4202, 4203, 4204, 4205, 4206, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4200 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$maccmap.cc:240:synth$3325.C": { "hide_name": 1, "bits": [ 5049, 5050, 5051, 4228, 4230, 4231, 4232, 4233, 4234, 4235, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4229 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$maccmap.cc:240:synth$3496.C": { "hide_name": 1, "bits": [ 5052, 5053, 5054, 4257, 4259, 4260, 4261, 4262, 4263, 4264, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4258 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$wreduce.cc:347:run$1646": { "hide_name": 1, "bits": [ 3753, 3769, 3771, 3773, 3775, 3777, 3779, 3781, 3783, 3785, 3756, 3758, 3760, 3762, 3764, 3766, 3768, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:207" } }, "$auto$wreduce.cc:347:run$1648": { "hide_name": 1, "bits": [ 3828, 3830, 3832, 3834, 3836, 3838, 3840, 3842, 3844, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:248" } }, "$auto$wreduce.cc:347:run$1649": { "hide_name": 1, "bits": [ 3846, 3870, 3873, 3876, 3879, 3882, 3885, 3888, 3891, 3894, 3850, 3853, 3856, 3859, 3862, 3865, 3868, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:284" } }, "$auto$wreduce.cc:347:run$1652": { "hide_name": 1, "bits": [ 4063, 4087, 4090, 4093, 4096, 4099, 4102, 4105, 4108, 4111, 4067, 4070, 4073, 4076, 4079, 4082, 4085, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:462" } }, "$auto$wreduce.cc:347:run$1666": { "hide_name": 1, "bits": [ 3948, 5123, 3950, 3952, 3954, 3956, 3958, 3960, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:70" } }, "$techmap2186\\comp.coeff_mem.12.0.0.A1ADDR_11": { "hide_name": 1, "bits": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, 5148, 5149 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:252" } }, "$techmap2186\\comp.coeff_mem.12.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5150, 4801, 5151, 4803, 5152, 4805, 5153, 4807, 5154, 4809, 5155, 4811, 5156, 4813, 5157, 4815 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2187\\comp.coeff_mem.5.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5158, 4913, 5159, 4915, 5160, 4917, 5161, 4919, 5162, 4921, 5163, 4923, 5164, 4925, 5165, 4927 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2188\\comp.coeff_mem.14.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5166, 4833, 5167, 4835, 5168, 4837, 5169, 4839, 5170, 4841, 5171, 4843, 5172, 4845, 5173, 4847 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2189\\buffer.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5174, 4688, 5175, 4689, 5176, 4690, 5177, 4691, 5178, 4692, 5179, 4693, 5180, 4694, 5181, 4695 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2190\\comp.coeff_mem.6.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5182, 4929, 5183, 4931, 5184, 4933, 5185, 4935, 5186, 4937, 5187, 4939, 5188, 4941, 5189, 4943 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2191\\comp.coeff_mem.7.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5190, 4945, 5191, 4947, 5192, 4949, 5193, 4951, 5194, 4953, 5195, 4955, 5196, 4957, 5197, 4959 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2192\\comp.coeff_mem.8.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5198, 4961, 5199, 4963, 5200, 4965, 5201, 4967, 5202, 4969, 5203, 4971, 5204, 4973, 5205, 4975 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2193\\comp.coeff_mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5206, 4865, 5207, 4867, 5208, 4869, 5209, 4871, 5210, 4873, 5211, 4875, 5212, 4877, 5213, 4879 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2194\\comp.coeff_mem.9.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5214, 4977, 5215, 4979, 5216, 4981, 5217, 4983, 5218, 4985, 5219, 4987, 5220, 4989, 5221, 4991 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2199\\comp.coeff_mem.4.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5222, 4897, 5223, 4899, 5224, 4901, 5225, 4903, 5226, 4905, 5227, 4907, 5228, 4909, 5229, 4911 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2200\\comp.coeff_mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5230, 4753, 5231, 4755, 5232, 4757, 5233, 4759, 5234, 4761, 5235, 4763, 5236, 4765, 5237, 4767 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2201\\comp.coeff_mem.10.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5238, 4769, 5239, 4771, 5240, 4773, 5241, 4775, 5242, 4777, 5243, 4779, 5244, 4781, 5245, 4783 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2202\\comp.coeff_mem.13.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5246, 4817, 5247, 4819, 5248, 4821, 5249, 4823, 5250, 4825, 5251, 4827, 5252, 4829, 5253, 4831 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2203\\comp.coeff_mem.11.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5254, 4785, 5255, 4787, 5256, 4789, 5257, 4791, 5258, 4793, 5259, 4795, 5260, 4797, 5261, 4799 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2204\\comp.coeff_mem.3.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5262, 4881, 5263, 4883, 5264, 4885, 5265, 4887, 5266, 4889, 5267, 4891, 5268, 4893, 5269, 4895 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2205\\buffer.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5270, 4696, 5271, 4697, 5272, 4698, 5273, 4699, 5274, 4700, 5275, 4701, 5276, 4702, 5277, 4703 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2207\\comp.code_mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5278, 4712, 5279, 4713, 5280, 4714, 5281, 4715, 5282, 4716, 5283, 4717, 5284, 4718, 5285, 4719 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2208\\comp.code_mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5286, 4720, 5287, 4721, 5288, 4722, 5289, 4723, 5290, 4724, 5291, 4725, 5292, 4726, 5293, 4727 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2209\\comp.code_mem.3.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5294, 4728, 5295, 4729, 5296, 4730, 5297, 4731, 5298, 4732, 5299, 4733, 5300, 4734, 5301, 4735 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2210\\comp.code_mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5302, 4704, 5303, 4705, 5304, 4706, 5305, 4707, 5306, 4708, 5307, 4709, 5308, 4710, 5309, 4711 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2211\\comp.coeff_mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5310, 4737, 5311, 4739, 5312, 4741, 5313, 4743, 5314, 4745, 5315, 4747, 5316, 4749, 5317, 4751 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap2212\\comp.coeff_mem.15.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 5318, 4849, 5319, 4851, 5320, 4853, 5321, 4855, 5322, 4857, 5323, 4859, 5324, 4861, 5325, 4863 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap\\comp.$0\\mem_rd1_addr[15:0]": { "hide_name": 1, "bits": [ 3910, 3912, 3914, 3916, 3918, 3920, 3922, 3924, 3926, 3897, 3899, 3901, 3903, 3905, 3907, 3909 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:256" } }, "$techmap\\comp.$0\\pre_mem_wr_addr[16:0]": { "hide_name": 1, "bits": [ 4112, 4128, 4130, 4132, 4134, 4136, 4138, 4140, 4142, 4144, 4115, 4117, 4119, 4121, 4123, 4125, 4127 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:446" } }, "buffer_len": { "hide_name": 0, "bits": [ 278, 270, 274, 262, 282, 272, 286, 276, 284, 280, 288 ], "attributes": { "src": "../rtl/top.v:157" } }, "buffer_ptr": { "hide_name": 0, "bits": [ 277, 269, 273, 261, 281, 271, 285, 275, 283, 279, 287 ], "attributes": { "src": "../rtl/top.v:156" } }, "busy_q": { "hide_name": 0, "bits": [ 1240, 1241, 1242, 1243 ], "attributes": { "src": "../rtl/top.v:379" } }, "clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:19" } }, "cmem_rdata": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:87" } }, "comp.CBP": { "hide_name": 0, "bits": [ 593, 595, 597, 599, 601, 603, 605, 607, 609 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:48" } }, "comp.LBP": { "hide_name": 0, "bits": [ 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 586, 588, 590 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:47" } }, "comp.SBP": { "hide_name": 0, "bits": [ 2322, 2324, 2326, 2328, 2330, 2332, 2334, 2336, 2338, 2340, 2342, 2344, 2346, 2348, 2350, 2352, 2354 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:47" } }, "comp.VBP": { "hide_name": 0, "bits": [ 614, 616 ], "attributes": { } }, "comp.acc0": { "hide_name": 0, "bits": [ 1464, 1470, 1493, 2067, 2061, 2062, 2064, 2008, 2009, 2012, 2013, 2003, 1992, 1993, 1995, 1883, 1884, 1887, 1888, 1893, 1894, 1897, 1898, 1905, 1906, 1909, 1910, 1915, 1916, 1919, 1920, 1855 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:45" } }, "comp.acc0_saturated": { "hide_name": 0, "bits": [ 3233, 3235, 3237, 3239, 3241, 3243, 3245, 3232 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:344" } }, "comp.acc0_shifted": { "hide_name": 0, "bits": [ 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 1855 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:341" } }, "comp.acc1": { "hide_name": 0, "bits": [ 1670, 1676, 1696, 2288, 2282, 2283, 2285, 2263, 2211, 2212, 2215, 2216, 2221, 2222, 2226, 2225, 2159, 2160, 2132, 2133, 2136, 2137, 2126, 2127, 2122, 2123, 2142, 2143, 2146, 2147, 2149, 2097 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:45" } }, "comp.acc1_saturated": { "hide_name": 0, "bits": [ 3217, 3219, 3221, 3223, 3225, 3227, 3229, 3216 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:345" } }, "comp.acc1_shifted": { "hide_name": 0, "bits": [ 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 2097 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:342" } }, "comp.clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:23" } }, "comp.cmd_insn": { "hide_name": 0, "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:29" } }, "comp.cmd_insn_caddr": { "hide_name": 0, "bits": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:83" } }, "comp.cmd_insn_maddr": { "hide_name": 0, "bits": [ 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:82" } }, "comp.cmd_insn_opcode": { "hide_name": 0, "bits": [ 80, 81, 83, 85, 86, 87 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:84" } }, "comp.cmd_ready": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:28" } }, "comp.cmd_valid": { "hide_name": 0, "bits": [ 224 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:27" } }, "comp.mem_rd0_addr": { "hide_name": 0, "bits": [ 172, 164, 982, 991, 1000, 1009, 1018, 1027, 1036, 1045, 1054, 1063, 1072, 1081, 1090, 1099 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:51" } }, "comp.mem_rd0_en": { "hide_name": 0, "bits": [ 146 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:50" } }, "comp.mem_rd1_addr": { "hide_name": 0, "bits": [ 171, 163, 981, 990, 999, 1008, 1017, 1026, 1035, 1044, 1053, 1062, 1071, 1080, 1089, 1098 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:54" } }, "comp.mem_rd1_en": { "hide_name": 0, "bits": [ 147 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:53" } }, "comp.mem_rdata": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:35" } }, "comp.mem_wr_addr": { "hide_name": 0, "bits": [ 169, 161, 979, 988, 997, 1006, 1015, 1024, 1033, 1042, 1051, 1060, 1069, 1078, 1087, 1096 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:57" } }, "comp.mlock_mask": { "hide_name": 0, "bits": [ 5388, "0", "0", 5389, "0", "0", "0", 1392 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:120" } }, "comp.mlock_res": { "hide_name": 0, "bits": [ 53, 4535, 4536, 26, 4537, 4538, 4539, "0" ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:119" } }, "comp.mulA": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852, 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:311" } }, "comp.mul[0].A": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[0].B": { "hide_name": 0, "bits": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[0].O": { "hide_name": 0, "bits": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[0].Q": { "hide_name": 0, "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[0].X": { "hide_name": 0, "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[0].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[1].A": { "hide_name": 0, "bits": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[1].B": { "hide_name": 0, "bits": [ 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[1].O": { "hide_name": 0, "bits": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[1].Q": { "hide_name": 0, "bits": [ 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[1].X": { "hide_name": 0, "bits": [ 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[1].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[2].A": { "hide_name": 0, "bits": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[2].B": { "hide_name": 0, "bits": [ 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[2].O": { "hide_name": 0, "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[2].Q": { "hide_name": 0, "bits": [ 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[2].X": { "hide_name": 0, "bits": [ 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[2].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[3].A": { "hide_name": 0, "bits": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[3].B": { "hide_name": 0, "bits": [ 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[3].O": { "hide_name": 0, "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[3].Q": { "hide_name": 0, "bits": [ 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[3].X": { "hide_name": 0, "bits": [ 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[3].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[4].A": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[4].B": { "hide_name": 0, "bits": [ 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[4].O": { "hide_name": 0, "bits": [ 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[4].Q": { "hide_name": 0, "bits": [ 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[4].X": { "hide_name": 0, "bits": [ 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[4].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[5].A": { "hide_name": 0, "bits": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[5].B": { "hide_name": 0, "bits": [ 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[5].O": { "hide_name": 0, "bits": [ 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[5].Q": { "hide_name": 0, "bits": [ 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[5].X": { "hide_name": 0, "bits": [ 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[5].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[6].A": { "hide_name": 0, "bits": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[6].B": { "hide_name": 0, "bits": [ 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[6].O": { "hide_name": 0, "bits": [ 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[6].Q": { "hide_name": 0, "bits": [ 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[6].X": { "hide_name": 0, "bits": [ 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[6].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.mul[7].A": { "hide_name": 0, "bits": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[7].B": { "hide_name": 0, "bits": [ 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586" } }, "comp.mul[7].O": { "hide_name": 0, "bits": [ 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604" } }, "comp.mul[7].Q": { "hide_name": 0, "bits": [ 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605" } }, "comp.mul[7].X": { "hide_name": 0, "bits": [ 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587" } }, "comp.mul[7].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585" } }, "comp.new_acc0_add": { "hide_name": 0, "bits": [ 1439, 5390, 5391, 1508, 1512, 1516, 1520, 1525, 1530, 1535, 1540, 1545, 1550, 1555, 1560, 1565, 1570, 1574, 1579, 1584, 1589, 1594, 1599, 1604, 1609, 1614, 1619, 1624, 1629, 1634, 1639, 1644 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:332" } }, "comp.new_acc1_add": { "hide_name": 0, "bits": [ 1650, 5392, 5393, 1711, 1716, 1721, 1726, 1731, 1736, 1741, 1746, 1751, 1756, 1761, 1766, 1771, 1776, 1781, 1786, 1791, 1796, 1801, 1806, 1811, 1816, 1821, 1826, 1831, 1836, 1841, 1846, 1851 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:333" } }, "comp.pre_mem_wr_addr": { "hide_name": 0, "bits": [ 174, 169, 161, 979, 988, 997, 1006, 1015, 1024, 1033, 1042, 1051, 1060, 1069, 1078, 1087, 1096 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:429" } }, "comp.pre_mem_wr_en": { "hide_name": 0, "bits": [ 149, 150, "0", "0", "0", "0", "0", "0" ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:428" } }, "comp.pre_mem_wr_wdata": { "hide_name": 0, "bits": [ 3252, 3258, 3264, 3270, 3276, 3282, 3288, 3294, 3254, 3260, 3266, 3272, 3278, 3284, 3290, 3296, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:430" } }, "comp.reset": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:24" } }, "comp.s1_en": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:89" } }, "comp.s1_insn": { "hide_name": 0, "bits": [ 32, 33, 50, 30, 42, 41, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:90" } }, "comp.s1_insn_caddr": { "hide_name": 0, "bits": [ 653, 656, 659, 662, 665, 668, 671, 674, 677 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:166" } }, "comp.s1_insn_codemem": { "hide_name": 0, "bits": [ 34, 38, 49, 40, 45, 47, 652, 655, 658, 661, 664, 667, 670, 673, 676, 679, 682, 685, 688, 691, 694, 697, 700, 703, 706, 709, 712, 715, 718, 721, 724, 727 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:160" } }, "comp.s1_insn_direct": { "hide_name": 0, "bits": [ 35, 37, 48, 39, 44, 46, 651, 654, 657, 660, 663, 666, 669, 672, 675, 678, 681, 684, 687, 690, 693, 696, 699, 702, 705, 708, 711, 714, 717, 720, 723, 726 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:159" } }, "comp.s1_insn_maddr": { "hide_name": 0, "bits": [ 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:165" } }, "comp.s1_insn_opcode": { "hide_name": 0, "bits": [ 32, 33, 50, 30, 42, 41 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:167" } }, "comp.s1_insn_sel": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:161" } }, "comp.s2_en": { "hide_name": 0, "bits": [ 541 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:93" } }, "comp.s2_insn": { "hide_name": 0, "bits": [ 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:94" } }, "comp.s3_en": { "hide_name": 0, "bits": [ 315 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:96" } }, "comp.s3_insn": { "hide_name": 0, "bits": [ 592, 321, 322, 323, 320, 317, 3802, 3805, 3808, 3811, 3814, 3817, 3820, 3823, 3826, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:97" } }, "comp.s4_coeff": { "hide_name": 0, "bits": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766, 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894, 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926, 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958, 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990, 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798, 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830, 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:101" } }, "comp.s4_en": { "hide_name": 0, "bits": [ 57 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:99" } }, "comp.s4_insn": { "hide_name": 0, "bits": [ 457, 330, 324, 325, 328, 329, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 3845, 3869, 3872, 3875, 3878, 3881, 3884, 3887, 3890, 3893, 3848, 3852, 3855, 3858, 3861, 3864, 3867 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:100" } }, "comp.s5_en": { "hide_name": 0, "bits": [ 539 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:103" } }, "comp.s5_insn": { "hide_name": 0, "bits": [ 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:104" } }, "comp.s6_en": { "hide_name": 0, "bits": [ 537 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:106" } }, "comp.s6_insn": { "hide_name": 0, "bits": [ 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:107" } }, "comp.s7_en": { "hide_name": 0, "bits": [ 338 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:109" } }, "comp.s7_insn": { "hide_name": 0, "bits": [ 336, 347, 333, 331, 335, 337, 1879, 1875, 1872, 1871, 1922, 1921, 1881, 1882, 1880, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:110" } }, "comp.s7_prod": { "hide_name": 0, "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653, 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654, 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649, 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645, 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069, 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070, 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065, 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:111" } }, "comp.s8_en": { "hide_name": 0, "bits": [ 355 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:113" } }, "comp.s8_insn": { "hide_name": 0, "bits": [ 2320, 350, 358, 357, 356, 354, "x", "x", "x", "x", "x", "x", "x", "x", "x", 4062, 4086, 4089, 4092, 4095, 4098, 4101, 4104, 4107, 4110, 4065, 4069, 4072, 4075, 4078, 4081, 4084 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:114" } }, "comp.s8_insn_opcode": { "hide_name": 0, "bits": [ 2320, 350, 358, 357, 356, 354 ], "attributes": { "src": "../rtl/top.v:360|../rtl/compute.v:444" } }, "comp_insn": { "hide_name": 0, "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ], "attributes": { "src": "../rtl/top.v:77" } }, "comp_ready": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "../rtl/top.v:76" } }, "comp_valid": { "hide_name": 0, "bits": [ 224 ], "attributes": { "src": "../rtl/top.v:75" } }, "dbg1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "../rtl/top.v:30" } }, "dbg2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "../rtl/top.v:31" } }, "dbg3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "../rtl/top.v:32" } }, "dbg4": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "../rtl/top.v:33" } }, "din_data": { "hide_name": 0, "bits": [ 17, 18, 13, 12, 19, 20, 21, 22 ], "attributes": { "src": "../rtl/top.v:51" } }, "din_start": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "../rtl/top.v:50" } }, "din_valid": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "../rtl/top.v:49" } }, "dout_data": { "hide_name": 0, "bits": [ 1234, 1249, 1255, 1261, 1267, 1273, 1279, 1285 ], "attributes": { "src": "../rtl/top.v:55" } }, "dout_ready": { "hide_name": 0, "bits": [ 303 ], "attributes": { "src": "../rtl/top.v:54" } }, "dout_valid": { "hide_name": 0, "bits": [ 306 ], "attributes": { "src": "../rtl/top.v:53" } }, "mem.addr": { "hide_name": 0, "bits": [ 3590, 3591, 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:20" } }, "mem.addr0": { "hide_name": 0, "bits": [ 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:34" } }, "mem.addr1": { "hide_name": 0, "bits": [ 1320, 5394, 1325, 1327, 1329, 1331, 1333, 1335, 1337, 1339, 1341, 1343, 1345, 1347 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:35" } }, "mem.clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:19" } }, "mem.ram[0].addr": { "hide_name": 0, "bits": [ 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59" } }, "mem.ram[0].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57" } }, "mem.ram[0].rdata": { "hide_name": 0, "bits": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61" } }, "mem.ram[0].wdata": { "hide_name": 0, "bits": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60" } }, "mem.ram[0].wen": { "hide_name": 0, "bits": [ 188, 187 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58" } }, "mem.ram[1].addr": { "hide_name": 0, "bits": [ 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59" } }, "mem.ram[1].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57" } }, "mem.ram[1].rdata": { "hide_name": 0, "bits": [ 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61" } }, "mem.ram[1].wdata": { "hide_name": 0, "bits": [ 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60" } }, "mem.ram[1].wen": { "hide_name": 0, "bits": [ 185, 184 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58" } }, "mem.ram[2].addr": { "hide_name": 0, "bits": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59" } }, "mem.ram[2].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57" } }, "mem.ram[2].rdata": { "hide_name": 0, "bits": [ 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61" } }, "mem.ram[2].wdata": { "hide_name": 0, "bits": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60" } }, "mem.ram[2].wen": { "hide_name": 0, "bits": [ 181, 179 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58" } }, "mem.ram[3].addr": { "hide_name": 0, "bits": [ 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1336, 1338, 1340, 1342, 1344, 1346, 1348 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59" } }, "mem.ram[3].clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57" } }, "mem.ram[3].rdata": { "hide_name": 0, "bits": [ 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61" } }, "mem.ram[3].wdata": { "hide_name": 0, "bits": [ 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60" } }, "mem.ram[3].wen": { "hide_name": 0, "bits": [ 177, 138 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58" } }, "mem.rdata": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:23" } }, "mem.shamt": { "hide_name": 0, "bits": [ 137, 5395, 1323 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:25" } }, "mem.shamt_rev_q": { "hide_name": 0, "bits": [ 3391, 3387 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:26" } }, "mem.shifted_rdata": { "hide_name": 0, "bits": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495, 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496, 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497, 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:30" } }, "mem.shifted_wdata": { "hide_name": 0, "bits": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326, 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:29" } }, "mem.shifted_wen": { "hide_name": 0, "bits": [ 188, 187, 185, 184, 181, 179, 177, 138 ], "attributes": { "src": "../rtl/top.v:489|../rtl/memory.v:28" } }, "mem_addr": { "hide_name": 0, "bits": [ 3590, 3591, 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ], "attributes": { "src": "../rtl/top.v:440" } }, "mem_client_qmem": { "hide_name": 0, "bits": [ 142 ], "attributes": { "src": "../rtl/top.v:436" } }, "mem_rdata": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ], "attributes": { "src": "../rtl/top.v:443" } }, "qmem_addr": { "hide_name": 0, "bits": [ 165, 141, 974, 983, 992, 1001, 1010, 1019, 1028, 1037, 1046, 1055, 1064, 1073, 1082, 1091 ], "attributes": { "src": "../rtl/top.v:61" } }, "qmem_done": { "hide_name": 0, "bits": [ 154 ], "attributes": { "src": "../rtl/top.v:57" } }, "qmem_rdata": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ], "attributes": { "src": "../rtl/top.v:63" } }, "qmem_rdone": { "hide_name": 0, "bits": [ 289 ], "attributes": { "src": "../rtl/top.v:58" } }, "qmem_read": { "hide_name": 0, "bits": [ 151 ], "attributes": { "src": "../rtl/top.v:59" } }, "qmem_wdata": { "hide_name": 0, "bits": [ 3253, 3259, 3265, 3271, 3277, 3283, 3289, 3295, 3300, 3304, 3308, 3312, 3316, 3320, 3324, 3328 ], "attributes": { "src": "../rtl/top.v:62" } }, "qmem_write": { "hide_name": 0, "bits": [ 152, 153 ], "attributes": { "src": "../rtl/top.v:60" } }, "qpi.active": { "hide_name": 0, "bits": [ 304 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:501" } }, "qpi.active_q0": { "hide_name": 0, "bits": [ 4523 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:579" } }, "qpi.active_q1": { "hide_name": 0, "bits": [ 304 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:579" } }, "qpi.clk_q0": { "hide_name": 0, "bits": [ 4526 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:577" } }, "qpi.clk_q1": { "hide_name": 0, "bits": [ 311 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:577" } }, "qpi.clk_q2": { "hide_name": 0, "bits": [ 309 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:577" } }, "qpi.clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:499" } }, "qpi.di_data": { "hide_name": 0, "bits": [ 4531, 4532, 4533, 4534 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:524" } }, "qpi.di_start": { "hide_name": 0, "bits": [ 1309 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:526" } }, "qpi.di_stx": { "hide_name": 0, "bits": [ 551 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:527" } }, "qpi.di_toggle": { "hide_name": 0, "bits": [ 310 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:525" } }, "qpi.din_data": { "hide_name": 0, "bits": [ 17, 18, 13, 12, 19, 20, 21, 22 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:515" } }, "qpi.din_start": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:514" } }, "qpi.din_valid": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:513" } }, "qpi.do_data": { "hide_name": 0, "bits": [ 4527, 4528, 4529, 4530, 1298, 1301, 1304, 1307 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:545" } }, "qpi.do_datax": { "hide_name": 0, "bits": [ 1297, 1300, 1303, 1306 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:546" } }, "qpi.do_toggle": { "hide_name": 0, "bits": [ 1295 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:549" } }, "qpi.do_toggle_q0": { "hide_name": 0, "bits": [ 4524 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:578" } }, "qpi.do_toggle_q1": { "hide_name": 0, "bits": [ 301 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:578" } }, "qpi.do_valid": { "hide_name": 0, "bits": [ 1294 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:547" } }, "qpi.do_validx": { "hide_name": 0, "bits": [ 1293 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:548" } }, "qpi.dout_busy": { "hide_name": 0, "bits": [ 305 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:594" } }, "qpi.dout_data": { "hide_name": 0, "bits": [ 1234, 1249, 1255, 1261, 1267, 1273, 1279, 1285 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:519" } }, "qpi.dout_ready": { "hide_name": 0, "bits": [ 303 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:518" } }, "qpi.dout_valid": { "hide_name": 0, "bits": [ 306 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:517" } }, "qpi.qpi_clk_di": { "hide_name": 0, "bits": [ 4525 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:504" } }, "qpi.qpi_csb_di": { "hide_name": 0, "bits": [ 3586 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:503" } }, "qpi.qpi_io_di": { "hide_name": 0, "bits": [ 4679, 4680, 4681, 4682 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:509" } }, "qpi.qpi_io_do": { "hide_name": 0, "bits": [ 4683, 4684, 4685, 4686 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:510" } }, "qpi.qpi_io_oe": { "hide_name": 0, "bits": [ 4687, 4687, 4687, 4687 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:511" } }, "qpi.reset": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "../rtl/top.v:131|../rtl/top.v:500" } }, "qpi_active": { "hide_name": 0, "bits": [ 304 ], "attributes": { "src": "../rtl/top.v:129" } }, "qpi_clk": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "../rtl/top.v:22" } }, "qpi_clk_di": { "hide_name": 0, "bits": [ 4525 ], "attributes": { "src": "../rtl/top.v:119" } }, "qpi_csb": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "../rtl/top.v:21" } }, "qpi_csb_di": { "hide_name": 0, "bits": [ 3586 ], "attributes": { "src": "../rtl/top.v:118" } }, "qpi_err": { "hide_name": 0, "bits": [ "1" ], "attributes": { "src": "../rtl/top.v:28" } }, "qpi_io0": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "../rtl/top.v:23" } }, "qpi_io1": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "../rtl/top.v:24" } }, "qpi_io2": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "../rtl/top.v:25" } }, "qpi_io3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "../rtl/top.v:26" } }, "qpi_io_di": { "hide_name": 0, "bits": [ 4679, 4680, 4681, 4682 ], "attributes": { "src": "../rtl/top.v:106" } }, "qpi_io_do": { "hide_name": 0, "bits": [ 4683, 4684, 4685, 4686 ], "attributes": { "src": "../rtl/top.v:105" } }, "qpi_io_oe": { "hide_name": 0, "bits": [ 4687, 4687, 4687, 4687 ], "attributes": { "src": "../rtl/top.v:104" } }, "qpi_rdy": { "hide_name": 0, "bits": [ "1" ], "attributes": { "src": "../rtl/top.v:27" } }, "reset": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "../rtl/top.v:44" } }, "reset_cnt": { "hide_name": 0, "bits": [ 535, 534, 533, 532 ], "attributes": { "src": "../rtl/top.v:91" } }, "seq.addr": { "hide_name": 0, "bits": [ 762, 3612, 3615, 3619, 3623, 3627, 3631, 3635, 3639, 3643, 3647, 3651, 3655, 3659, 3663, 3667 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:22" } }, "seq.buffer_insn": { "hide_name": 0, "bits": [ 238, 236, 123, 120, 126, 129, 819, 824, 828, 833, 838, 843, 848, 853, 858, 243, 92, 96, 99, 102, 105, 108, 111, 114, 117, 922, 927, 932, 937, 942, 947, 952 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:98" } }, "seq.buffer_insn_valid": { "hide_name": 0, "bits": [ 93 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:99" } }, "seq.busy": { "hide_name": 0, "bits": [ 548 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:23" } }, "seq.callstack_ptr": { "hide_name": 0, "bits": [ 213, 214, 215, 216, 209, 210, 211, 212 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:45" } }, "seq.clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:19" } }, "seq.comp_insn": { "hide_name": 0, "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:32" } }, "seq.comp_ready": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:31" } }, "seq.comp_valid": { "hide_name": 0, "bits": [ 224 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:30" } }, "seq.insn": { "hide_name": 0, "bits": [ 233, 234, 124, 121, 127, 130, 820, 825, 829, 834, 839, 844, 849, 854, 859, 241, 94, 97, 100, 103, 106, 109, 112, 115, 118, 923, 928, 933, 938, 943, 948, 953 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:102" } }, "seq.insn_valid": { "hide_name": 0, "bits": [ 231 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:101" } }, "seq.next_buffer_insn": { "hide_name": 0, "bits": [ 233, 234, 124, 121, 127, 130, 822, 826, 831, 836, 841, 846, 851, 856, 861, 863, 864, 866, 868, 870, 872, 874, 876, 878, 880, 923, 928, 933, 938, 943, 948, 953 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:106" } }, "seq.next_buffer_insn_valid": { "hide_name": 0, "bits": [ 227 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:105" } }, "seq.pc": { "hide_name": 0, "bits": [ "x", 4265, 773, 774, 3976, 3978, 3980, 3982, 3984, 3986, 3961, 3964, 3966, 3968, 3970, 3972, 3974 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:43" } }, "seq.queue_fill": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", 133, 132, 131 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:51" } }, "seq.queue_full": { "hide_name": 0, "bits": [ 220 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:52" } }, "seq.queue_insn": { "hide_name": 0, "bits": [ 237, 235, 122, 119, 125, 128, 818, 823, 827, 832, 837, 842, 847, 852, 857, 242, 91, 95, 98, 101, 104, 107, 110, 113, 116, 921, 926, 931, 936, 941, 946, 951 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:95" } }, "seq.queue_insn_valid": { "hide_name": 0, "bits": [ 244 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:96" } }, "seq.queue_iptr": { "hide_name": 0, "bits": [ 191, 373, 379, 366, 249, 388, 381, 360 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:48" } }, "seq.queue_optr": { "hide_name": 0, "bits": [ 247, 377, 382, 370, 248, 390, 384, 393 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:48" } }, "seq.reset": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:20" } }, "seq.running": { "hide_name": 0, "bits": [ 221 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:42" } }, "seq.smem_addr": { "hide_name": 0, "bits": [ 167, 156, 977, 986, 995, 1004, 1013, 1022, 1031, 1040, 1049, 1058, 1067, 1076, 1085, 1094 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:27" } }, "seq.smem_data": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:28" } }, "seq.smem_ready": { "hide_name": 0, "bits": [ 159 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:26" } }, "seq.smem_valid": { "hide_name": 0, "bits": [ 160 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:25" } }, "seq.stall_queue": { "hide_name": 0, "bits": [ 227 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:104" } }, "seq.start": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "../rtl/top.v:342|../rtl/sequencer.v:21" } }, "seq_addr": { "hide_name": 0, "bits": [ 762, 3612, 3615, 3619, 3623, 3627, 3631, 3635, 3639, 3643, 3647, 3651, 3655, 3659, 3663, 3667 ], "attributes": { "src": "../rtl/top.v:67" } }, "seq_busy": { "hide_name": 0, "bits": [ 548 ], "attributes": { "src": "../rtl/top.v:68" } }, "seq_start": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "../rtl/top.v:65" } }, "smem_addr": { "hide_name": 0, "bits": [ 167, 156, 977, 986, 995, 1004, 1013, 1022, 1031, 1040, 1049, 1058, 1067, 1076, 1085, 1094 ], "attributes": { "src": "../rtl/top.v:72" } }, "smem_data": { "hide_name": 0, "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ], "attributes": { "src": "../rtl/top.v:73" } }, "smem_ready": { "hide_name": 0, "bits": [ 159 ], "attributes": { "src": "../rtl/top.v:71" } }, "smem_state": { "hide_name": 0, "bits": [ 158, 159 ], "attributes": { "src": "../rtl/top.v:445" } }, "smem_valid": { "hide_name": 0, "bits": [ 160 ], "attributes": { "src": "../rtl/top.v:70" } }, "state": { "hide_name": 0, "bits": [ "x", 395, 453, 88, 450, 297, 298, 77, 448, 446, 89, 61, 290, 292 ], "attributes": { "onehot": 1 } } } } } }