{ "creator": "Yosys 0.8+52 (git sha1 48248340, clang 6.0.1 -fPIC -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:648" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649" } } } }, "SB_CARRY": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129" } } } }, "SB_DFF": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135" } } } }, "SB_DFFE": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140" } } } }, "SB_DFFER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188" } } } }, "SB_DFFES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206" } } } }, "SB_DFFESR": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178" } } } }, "SB_DFFESS": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196" } } } }, "SB_DFFN": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216" } } } }, "SB_DFFNE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221" } } } }, "SB_DFFNER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269" } } } }, "SB_DFFNES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287" } } } }, "SB_DFFNESR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277" } } } }, "SB_DFFNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235" } } } }, "SB_DFFNS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251" } } } }, "SB_DFFNSR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243" } } } }, "SB_DFFR": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154" } } } }, "SB_DFFS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170" } } } }, "SB_DFFSR": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146" } } } }, "SB_DFFSS": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1117" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1118" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1119" } } } }, "SB_GB": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:113" } } } }, "SB_GB_IO": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:74" } } } }, "SB_HFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:960" }, "ports": { "CLKHFPU": { "direction": "input", "bits": [ 2 ] }, "CLKHFEN": { "direction": "input", "bits": [ 3 ] }, "CLKHF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:963" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:962" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:961" } } } }, "SB_I2C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:994" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1025" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1026" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1005" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1004" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1003" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1002" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1001" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1000" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:999" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:998" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:995" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1013" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1012" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1011" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1010" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1009" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1008" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1007" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1006" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1023" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1022" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1021" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1020" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1019" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1018" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1017" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1016" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:996" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:997" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1014" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1027" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1028" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1015" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1029" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1030" } } } }, "SB_IO": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:8" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1123" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1126" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1132" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1133" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1130" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1131" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1127" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1125" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1128" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1129" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1124" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1134" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1135" } } } }, "SB_IO_OD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1192" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1195" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1202" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1201" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1200" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1199" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1196" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1194" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1197" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1198" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1193" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1091" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1105" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1104" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1103" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1102" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1093" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1092" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1101" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1100" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1099" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1098" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1097" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1096" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1095" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1094" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1106" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1107" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1112" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1108" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1109" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1110" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1111" } } } }, "SB_LFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:969" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:972" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:971" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:970" } } } }, "SB_LUT4": { "attributes": { "blackbox": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121" } } } }, "SB_MAC16": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:872" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:876" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:894" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:898" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:890" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:889" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:879" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:877" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:880" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:875" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:874" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:881" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:893" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:873" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:897" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:878" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:882" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:884" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:883" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:896" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:892" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:891" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:888" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:887" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:886" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:885" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:895" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:899" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:791" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:800" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:798" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:797" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:802" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:799" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:793" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:795" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:794" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:796" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:792" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:801" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:805" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:804" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:803" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:826" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:835" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:833" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:832" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:837" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:834" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:827" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:828" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:830" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:829" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:831" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:836" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:840" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:839" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:838" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:757" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:766" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:764" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:763" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:768" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:765" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:758" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:759" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:761" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:760" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:762" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:767" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:771" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:770" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:769" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:695" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:702" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:700" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:699" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:704" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:701" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:697" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:698" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:696" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:703" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:707" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:706" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:705" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:726" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:733" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:731" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:730" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:735" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:732" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:727" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:728" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:729" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:734" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:738" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:737" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:736" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:460" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:466" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:463" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:461" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:465" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:466" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:584" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:590" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:587" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:585" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:589" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:590" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:522" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:528" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:525" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:523" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:527" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:528" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:977" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:978" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:983" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:980" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:984" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:981" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:985" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:982" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:979" } } } }, "SB_SPI": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1037" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1081" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1080" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1079" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1078" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1085" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1084" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1083" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1082" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1057" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1074" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1075" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1048" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1047" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1046" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1044" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1043" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1042" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1041" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1056" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1055" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1054" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1053" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1052" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1051" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1050" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1049" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1068" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1067" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1066" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1065" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1064" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1063" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1062" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1061" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1039" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1040" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1059" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1076" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1077" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1060" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1058" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1072" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1073" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1070" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1071" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:923" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:924" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:925" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:928" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:926" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927" } } } }, "SB_WARMBOOT": { "attributes": { "blackbox": 1, "keep": 1, "cells_not_processed": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:863" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:864" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:866" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:865" } } } }, "phase_addon": { "attributes": { "top": 1, "src": "phase_addon.v:9" }, "ports": { "c_chg": { "direction": "output", "bits": [ 2 ] }, "c_dis": { "direction": "output", "bits": [ 3 ] }, "c_val": { "direction": "input", "bits": [ 4 ] }, "c_hyst": { "direction": "output", "bits": [ 5 ] }, "pdm_h_n": { "direction": "output", "bits": [ 6 ] }, "pdm_l_n": { "direction": "output", "bits": [ 7 ] }, "pdm_h_p": { "direction": "output", "bits": [ 8 ] }, "pdm_l_p": { "direction": "output", "bits": [ 9 ] }, "gpio": { "direction": "inout", "bits": [ 10, 11 ] }, "clk_48m": { "direction": "input", "bits": [ 12 ] }, "clk_10m": { "direction": "input", "bits": [ 13 ] }, "pps": { "direction": "input", "bits": [ 14 ] }, "sda": { "direction": "inout", "bits": [ 15 ] }, "scl": { "direction": "inout", "bits": [ 16 ] } }, "cells": { "$abc$4116$auto$blifparse.cc:492:parse_blif$4117": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 18 ], "I2": [ 19 ], "I3": [ "0" ], "O": [ 20 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4118": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 22 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 19 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4119": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63488 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 18 ], "I2": [ 23 ], "I3": [ 19 ], "O": [ 24 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4120": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 25 ], "I1": [ 26 ], "I2": [ 27 ], "I3": [ "0" ], "O": [ 23 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4121": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 28 ], "I1": [ 29 ], "I2": [ 30 ], "I3": [ 31 ], "O": [ 32 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4122": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 25 ], "I1": [ 19 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 30 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4123": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 25 ], "I2": [ 33 ], "I3": [ "0" ], "O": [ 28 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4124": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 34 ], "I1": [ 25 ], "I2": [ 35 ], "I3": [ "0" ], "O": [ 36 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4125": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 27 ], "I2": [ 37 ], "I3": [ "0" ], "O": [ 34 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4126": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65504 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 36 ], "I1": [ 38 ], "I2": [ 19 ], "I3": [ 39 ], "O": [ 40 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4127": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 41 ], "I1": [ 25 ], "I2": [ 31 ], "I3": [ 19 ], "O": [ 39 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4128": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 112 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 33 ], "I1": [ 25 ], "I2": [ 29 ], "I3": [ "0" ], "O": [ 38 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4129": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 28 ], "I1": [ 42 ], "I2": [ 30 ], "I3": [ 43 ], "O": [ 44 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4130": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28672 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 33 ], "I1": [ 25 ], "I2": [ 19 ], "I3": [ 42 ], "O": [ 45 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4131": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 46 ], "I1": [ 47 ], "I2": [ 48 ], "I3": [ 49 ], "O": [ 50 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4132": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 51 ], "I1": [ 52 ], "I2": [ 53 ], "I3": [ 54 ], "O": [ 55 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4133": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 25 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 56 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4134": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 248 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 25 ], "I2": [ 17 ], "I3": [ "0" ], "O": [ 57 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4135": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 58 ], "I1": [ 59 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 60 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4136": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 49150 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 61 ], "I2": [ 62 ], "I3": [ 63 ], "O": [ 64 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4137": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 65 ], "I1": [ 62 ], "I2": [ 61 ], "I3": [ "0" ], "O": [ 66 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4138": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65521 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 67 ], "I1": [ 68 ], "I2": [ 69 ], "I3": [ 21 ], "O": [ 70 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4139": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32512 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 71 ], "I1": [ 72 ], "I2": [ 73 ], "I3": [ 67 ], "O": [ 69 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4140": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 72 ], "I1": [ 73 ], "I2": [ 71 ], "I3": [ "0" ], "O": [ 68 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4141": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 68 ], "I1": [ 74 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 75 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4142": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 72 ], "I2": [ 73 ], "I3": [ 71 ], "O": [ 76 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4143": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65521 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 77 ], "I1": [ 78 ], "I2": [ 79 ], "I3": [ 21 ], "O": [ 80 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4144": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32512 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 82 ], "I2": [ 83 ], "I3": [ 77 ], "O": [ 79 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4145": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 82 ], "I1": [ 83 ], "I2": [ 81 ], "I3": [ "0" ], "O": [ 78 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4146": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 83 ], "I2": [ 81 ], "I3": [ 82 ], "O": [ 85 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4147": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 78 ], "I1": [ 84 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 86 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4148": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32767 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 87 ], "I1": [ 88 ], "I2": [ 89 ], "I3": [ 90 ], "O": [ 91 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4149": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 92 ], "I1": [ 93 ], "I2": [ 21 ], "I3": [ "0" ], "O": [ 94 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4150": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 25 ], "I1": [ 41 ], "I2": [ 43 ], "I3": [ "0" ], "O": [ 93 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4151": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 248 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 93 ], "I1": [ 92 ], "I2": [ 21 ], "I3": [ "0" ], "O": [ 95 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4152": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 96 ], "I1": [ 97 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 98 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4153": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 99 ], "I1": [ 100 ], "I2": [ 101 ], "I3": [ "0" ], "O": [ 102 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4154": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 103 ], "I1": [ 104 ], "I2": [ 105 ], "I3": [ 106 ], "O": [ 107 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4155": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 108 ], "I1": [ 109 ], "I2": [ 110 ], "I3": [ 111 ], "O": [ 106 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4156": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 112 ], "I2": [ 113 ], "I3": [ 114 ], "O": [ 115 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4157": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 116 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 117 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4158": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 118 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 119 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4159": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 120 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 121 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4160": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 122 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 123 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4161": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 172 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 124 ], "I1": [ 35 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 125 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4162": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 105 ], "I1": [ 126 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 127 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4163": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 111 ], "I1": [ 128 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 129 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4164": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 108 ], "I1": [ 130 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 131 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4165": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 103 ], "I1": [ 132 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 133 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4166": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 109 ], "I1": [ 134 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 135 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4167": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 104 ], "I1": [ 136 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 137 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4168": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 110 ], "I1": [ 138 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 139 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4169": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 140 ], "I1": [ 141 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 142 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4170": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 143 ], "I1": [ 144 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 145 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4171": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 146 ], "I1": [ 147 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 148 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4172": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 149 ], "I1": [ 150 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 151 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4173": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 152 ], "I1": [ 153 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 154 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4174": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 155 ], "I1": [ 156 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 157 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4175": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 158 ], "I1": [ 159 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 160 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4176": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 161 ], "I1": [ 162 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 163 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4177": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 164 ], "I1": [ 165 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 166 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4178": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 167 ], "I1": [ 168 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 169 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4179": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 170 ], "I1": [ 171 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 172 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4180": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 173 ], "I1": [ 174 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 175 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4181": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 176 ], "I1": [ 177 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 178 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4182": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 179 ], "I1": [ 180 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 181 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4183": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 182 ], "I1": [ 183 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 184 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4184": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 185 ], "I1": [ 186 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 187 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4185": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 233 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 62 ], "I2": [ 63 ], "I3": [ "0" ], "O": [ 188 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4186": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 189 ], "I1": [ 190 ], "I2": [ 69 ], "I3": [ "0" ], "O": [ 191 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4187": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 192 ], "I1": [ 193 ], "I2": [ 69 ], "I3": [ "0" ], "O": [ 194 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4188": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 195 ], "I1": [ 196 ], "I2": [ 79 ], "I3": [ "0" ], "O": [ 197 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4189": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 198 ], "I1": [ 199 ], "I2": [ 79 ], "I3": [ "0" ], "O": [ 200 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4190": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 48944 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 201 ], "I1": [ 97 ], "I2": [ 202 ], "I3": [ 203 ], "O": [ 204 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4191": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 304 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 26 ], "I1": [ 17 ], "I2": [ 113 ], "I3": [ 205 ], "O": [ 206 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4192": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 112 ], "I1": [ 26 ], "I2": [ 25 ], "I3": [ "0" ], "O": [ 205 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4193": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 190 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 17 ], "I1": [ 207 ], "I2": [ 208 ], "I3": [ "0" ], "O": [ 209 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4194": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 64 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 210 ], "I1": [ 25 ], "I2": [ 26 ], "I3": [ "0" ], "O": [ 207 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4195": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65512 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 62 ], "I2": [ 65 ], "I3": [ 21 ], "O": [ 211 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4196": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 190 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 72 ], "I2": [ 212 ], "I3": [ "0" ], "O": [ 213 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4197": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28686 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 72 ], "I1": [ 71 ], "I2": [ 73 ], "I3": [ 67 ], "O": [ 212 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4198": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 79 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 68 ], "I1": [ 74 ], "I2": [ 214 ], "I3": [ "0" ], "O": [ 215 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4199": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 127 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 71 ], "I1": [ 72 ], "I2": [ 73 ], "I3": [ 21 ], "O": [ 214 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4200": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 190 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 82 ], "I2": [ 216 ], "I3": [ "0" ], "O": [ 217 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4201": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 28686 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 82 ], "I1": [ 81 ], "I2": [ 83 ], "I3": [ 77 ], "O": [ 216 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4202": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65522 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 78 ], "I2": [ 21 ], "I3": [ 85 ], "O": [ 218 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4203": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 36848 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 89 ], "I1": [ 90 ], "I2": [ 87 ], "I3": [ 88 ], "O": [ 219 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4204": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 99 ], "I1": [ 100 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 220 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4205": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 13 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 221 ], "I1": [ 222 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 223 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4206": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 224 ], "I1": [ 225 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 226 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4207": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 227 ], "I1": [ 228 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 229 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4208": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 230 ], "I1": [ 231 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 232 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4209": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 233 ], "I1": [ 234 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 235 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4210": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 236 ], "I1": [ 237 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 238 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4211": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 239 ], "I1": [ 240 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 241 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4212": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 242 ], "I1": [ 243 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 244 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4213": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 245 ], "I1": [ 246 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 247 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4214": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 248 ], "I1": [ 249 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 250 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4215": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 251 ], "I1": [ 252 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 253 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4216": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 254 ], "I1": [ 255 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 256 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4217": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 257 ], "I1": [ 258 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 259 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4218": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 260 ], "I1": [ 261 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 262 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4219": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 263 ], "I1": [ 264 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 265 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4220": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 266 ], "I1": [ 267 ], "I2": [ 96 ], "I3": [ "0" ], "O": [ 268 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4221": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 61576 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 97 ], "I1": [ 269 ], "I2": [ 270 ], "I3": [ 96 ], "O": [ 271 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4222": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 20292 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 97 ], "I1": [ 272 ], "I2": [ 59 ], "I3": [ 186 ], "O": [ 273 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4223": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 248 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 29 ], "I1": [ 274 ], "I2": [ 275 ], "I3": [ 26 ], "O": [ 276 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4224": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 42 ], "I1": [ 27 ], "I2": [ 25 ], "I3": [ "0" ], "O": [ 275 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4225": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 96 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 277 ], "I2": [ 278 ], "I3": [ "0" ], "O": [ 279 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4226": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 96 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 280 ], "I2": [ 281 ], "I3": [ "0" ], "O": [ 282 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4227": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 283 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4228": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 284 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4229": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 201 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 285 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4230": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 286 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 287 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4231": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 288 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 289 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4232": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 290 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 291 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4233": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 292 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 293 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4234": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 20292 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 35 ], "I1": [ 34 ], "I2": [ 41 ], "I3": [ 43 ], "O": [ 294 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4235": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 65408 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 294 ], "I1": [ 19 ], "I2": [ 25 ], "I3": [ 45 ], "O": [ 295 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4236": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 92 ], "I1": [ 29 ], "I2": [ 43 ], "I3": [ 34 ], "O": [ 296 ] } }, "$abc$4116$auto$blifparse.cc:492:parse_blif$4237": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14848 }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 297 ], "I1": [ 296 ], "I2": [ 274 ], "I3": [ 19 ], "O": [ 298 ] } }, "$auto$alumacc.cc:474:replace_alu$477.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 87 ], "I3": [ "0" ], "O": [ 299 ] } }, "$auto$alumacc.cc:474:replace_alu$477.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 87 ], "CO": [ 300 ], "I0": [ "0" ], "I1": [ 88 ] } }, "$auto$alumacc.cc:474:replace_alu$477.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 89 ], "I3": [ 300 ], "O": [ 301 ] } }, "$auto$alumacc.cc:474:replace_alu$477.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 300 ], "CO": [ 302 ], "I0": [ "0" ], "I1": [ 89 ] } }, "$auto$alumacc.cc:474:replace_alu$477.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 90 ], "I3": [ 302 ], "O": [ 303 ] } }, "$auto$alumacc.cc:474:replace_alu$480.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 112 ], "I3": [ "0" ], "O": [ 116 ] } }, "$auto$alumacc.cc:474:replace_alu$480.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 112 ], "CO": [ 304 ], "I0": [ "0" ], "I1": [ 113 ] } }, "$auto$alumacc.cc:474:replace_alu$480.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 114 ], "I3": [ 304 ], "O": [ 118 ] } }, "$auto$alumacc.cc:474:replace_alu$480.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 304 ], "CO": [ 305 ], "I0": [ "0" ], "I1": [ 114 ] } }, "$auto$alumacc.cc:474:replace_alu$480.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 26 ], "I3": [ 305 ], "O": [ 120 ] } }, "$auto$alumacc.cc:474:replace_alu$483.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 210 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 306 ] } }, "$auto$alumacc.cc:474:replace_alu$483.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 210 ], "CO": [ 307 ], "I0": [ 208 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$483.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 308 ], "I2": [ "1" ], "I3": [ 307 ], "O": [ 309 ] } }, "$auto$alumacc.cc:474:replace_alu$483.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 307 ], "CO": [ 310 ], "I0": [ 308 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$483.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 41 ], "I2": [ "1" ], "I3": [ 310 ], "O": [ 311 ] } }, "$auto$alumacc.cc:474:replace_alu$486.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 73 ], "I3": [ "0" ], "O": [ 190 ] } }, "$auto$alumacc.cc:474:replace_alu$486.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 73 ], "CO": [ 312 ], "I0": [ "0" ], "I1": [ 72 ] } }, "$auto$alumacc.cc:474:replace_alu$486.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 71 ], "I3": [ 312 ], "O": [ 193 ] } }, "$auto$alumacc.cc:474:replace_alu$489.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 73 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 189 ] } }, "$auto$alumacc.cc:474:replace_alu$489.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 73 ], "CO": [ 313 ], "I0": [ 72 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$489.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 71 ], "I2": [ "1" ], "I3": [ 313 ], "O": [ 192 ] } }, "$auto$alumacc.cc:474:replace_alu$492.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 83 ], "I3": [ "0" ], "O": [ 196 ] } }, "$auto$alumacc.cc:474:replace_alu$492.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 83 ], "CO": [ 314 ], "I0": [ "0" ], "I1": [ 82 ] } }, "$auto$alumacc.cc:474:replace_alu$492.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 81 ], "I3": [ 314 ], "O": [ 199 ] } }, "$auto$alumacc.cc:474:replace_alu$495.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 83 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 195 ] } }, "$auto$alumacc.cc:474:replace_alu$495.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 83 ], "CO": [ 315 ], "I0": [ 82 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$495.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 81 ], "I2": [ "1" ], "I3": [ 315 ], "O": [ 198 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 316 ], "I2": [ 317 ], "I3": [ 318 ], "O": [ 319 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 318 ], "CO": [ 320 ], "I0": [ 316 ], "I1": [ 317 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 321 ], "I2": [ 322 ], "I3": [ 323 ], "O": [ 324 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 323 ], "CO": [ 325 ], "I0": [ 321 ], "I1": [ 322 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 326 ], "I2": [ 327 ], "I3": [ 325 ], "O": [ 328 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 325 ], "CO": [ 329 ], "I0": [ 326 ], "I1": [ 327 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 330 ], "I2": [ 330 ], "I3": [ 329 ], "O": [ 331 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 332 ], "I2": [ 333 ], "I3": [ 320 ], "O": [ 334 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 320 ], "CO": [ 335 ], "I0": [ 332 ], "I1": [ 333 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 336 ], "I2": [ 337 ], "I3": [ 335 ], "O": [ 338 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 335 ], "CO": [ 339 ], "I0": [ 336 ], "I1": [ 337 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 340 ], "I2": [ 341 ], "I3": [ 339 ], "O": [ 342 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 339 ], "CO": [ 343 ], "I0": [ 340 ], "I1": [ 341 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 344 ], "I2": [ 345 ], "I3": [ 343 ], "O": [ 346 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 343 ], "CO": [ 347 ], "I0": [ 344 ], "I1": [ 345 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 348 ], "I2": [ 349 ], "I3": [ 347 ], "O": [ 350 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 347 ], "CO": [ 351 ], "I0": [ 348 ], "I1": [ 349 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 352 ], "I2": [ 353 ], "I3": [ 351 ], "O": [ 354 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 351 ], "CO": [ 355 ], "I0": [ 352 ], "I1": [ 353 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 356 ], "I2": [ 357 ], "I3": [ 355 ], "O": [ 358 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 355 ], "CO": [ 359 ], "I0": [ 356 ], "I1": [ 357 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 360 ], "I2": [ 361 ], "I3": [ 359 ], "O": [ 362 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 359 ], "CO": [ 363 ], "I0": [ 360 ], "I1": [ 361 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 364 ], "I2": [ 365 ], "I3": [ 363 ], "O": [ 366 ] } }, "$auto$alumacc.cc:474:replace_alu$501.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 363 ], "CO": [ 323 ], "I0": [ 364 ], "I1": [ 365 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 367 ], "I2": [ 368 ], "I3": [ 369 ], "O": [ 370 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 369 ], "CO": [ 371 ], "I0": [ 367 ], "I1": [ 368 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 372 ], "I2": [ 373 ], "I3": [ 374 ], "O": [ 375 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 374 ], "CO": [ 376 ], "I0": [ 372 ], "I1": [ 373 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 377 ], "I2": [ 378 ], "I3": [ 376 ], "O": [ 379 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 376 ], "CO": [ 380 ], "I0": [ 377 ], "I1": [ 378 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 381 ], "I2": [ 381 ], "I3": [ 380 ], "O": [ 382 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 383 ], "I2": [ 384 ], "I3": [ 371 ], "O": [ 385 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 371 ], "CO": [ 386 ], "I0": [ 383 ], "I1": [ 384 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 387 ], "I2": [ 388 ], "I3": [ 386 ], "O": [ 389 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 386 ], "CO": [ 390 ], "I0": [ 387 ], "I1": [ 388 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 391 ], "I2": [ 392 ], "I3": [ 390 ], "O": [ 393 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 390 ], "CO": [ 394 ], "I0": [ 391 ], "I1": [ 392 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 395 ], "I2": [ 396 ], "I3": [ 394 ], "O": [ 397 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 394 ], "CO": [ 398 ], "I0": [ 395 ], "I1": [ 396 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 399 ], "I2": [ 400 ], "I3": [ 398 ], "O": [ 401 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 398 ], "CO": [ 402 ], "I0": [ 399 ], "I1": [ 400 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 403 ], "I2": [ 404 ], "I3": [ 402 ], "O": [ 405 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 402 ], "CO": [ 406 ], "I0": [ 403 ], "I1": [ 404 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 407 ], "I2": [ 408 ], "I3": [ 406 ], "O": [ 409 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 406 ], "CO": [ 410 ], "I0": [ 407 ], "I1": [ 408 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 411 ], "I2": [ 412 ], "I3": [ 410 ], "O": [ 413 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 410 ], "CO": [ 414 ], "I0": [ 411 ], "I1": [ 412 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 415 ], "I2": [ 416 ], "I3": [ 414 ], "O": [ 417 ] } }, "$auto$alumacc.cc:474:replace_alu$507.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 414 ], "CO": [ 374 ], "I0": [ 415 ], "I1": [ 416 ] } }, "$auto$alumacc.cc:474:replace_alu$510.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 99 ], "I3": [ "0" ], "O": [ 418 ] } }, "$auto$alumacc.cc:474:replace_alu$510.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 99 ], "CO": [ 419 ], "I0": [ "0" ], "I1": [ 100 ] } }, "$auto$alumacc.cc:474:replace_alu$510.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 101 ], "I3": [ 419 ], "O": [ 420 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 221 ], "I2": [ 122 ], "I3": [ "0" ], "O": [ 421 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 422 ], "I0": [ 221 ], "I1": [ 122 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 144 ], "I3": [ 423 ], "O": [ 424 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 423 ], "CO": [ 425 ], "I0": [ "0" ], "I1": [ 144 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 147 ], "I3": [ 425 ], "O": [ 426 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 425 ], "CO": [ 427 ], "I0": [ "0" ], "I1": [ 147 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 150 ], "I3": [ 427 ], "O": [ 428 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 427 ], "CO": [ 429 ], "I0": [ "0" ], "I1": [ 150 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 153 ], "I3": [ 429 ], "O": [ 430 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 429 ], "CO": [ 431 ], "I0": [ "0" ], "I1": [ 153 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 156 ], "I3": [ 431 ], "O": [ 432 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 431 ], "CO": [ 433 ], "I0": [ "0" ], "I1": [ 156 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 159 ], "I3": [ 433 ], "O": [ 434 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 433 ], "CO": [ 435 ], "I0": [ "0" ], "I1": [ 159 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 162 ], "I3": [ 435 ], "O": [ 436 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 435 ], "CO": [ 437 ], "I0": [ "0" ], "I1": [ 162 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 165 ], "I3": [ 437 ], "O": [ 438 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 437 ], "CO": [ 439 ], "I0": [ "0" ], "I1": [ 165 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 168 ], "I3": [ 439 ], "O": [ 440 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 439 ], "CO": [ 441 ], "I0": [ "0" ], "I1": [ 168 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 171 ], "I3": [ 441 ], "O": [ 442 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 441 ], "CO": [ 443 ], "I0": [ "0" ], "I1": [ 171 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 124 ], "I3": [ 422 ], "O": [ 444 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 422 ], "CO": [ 445 ], "I0": [ "0" ], "I1": [ 124 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 174 ], "I3": [ 443 ], "O": [ 446 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 443 ], "CO": [ 447 ], "I0": [ "0" ], "I1": [ 174 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 177 ], "I3": [ 447 ], "O": [ 448 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 447 ], "CO": [ 449 ], "I0": [ "0" ], "I1": [ 177 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 180 ], "I3": [ 449 ], "O": [ 450 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 449 ], "CO": [ 451 ], "I0": [ "0" ], "I1": [ 180 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 183 ], "I3": [ 451 ], "O": [ 452 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 126 ], "I3": [ 445 ], "O": [ 453 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 445 ], "CO": [ 454 ], "I0": [ "0" ], "I1": [ 126 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 128 ], "I3": [ 454 ], "O": [ 455 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 454 ], "CO": [ 456 ], "I0": [ "0" ], "I1": [ 128 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 130 ], "I3": [ 456 ], "O": [ 457 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 456 ], "CO": [ 458 ], "I0": [ "0" ], "I1": [ 130 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 132 ], "I3": [ 458 ], "O": [ 459 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 458 ], "CO": [ 460 ], "I0": [ "0" ], "I1": [ 132 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 134 ], "I3": [ 460 ], "O": [ 461 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 460 ], "CO": [ 462 ], "I0": [ "0" ], "I1": [ 134 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 136 ], "I3": [ 462 ], "O": [ 463 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 462 ], "CO": [ 464 ], "I0": [ "0" ], "I1": [ 136 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 138 ], "I3": [ 464 ], "O": [ 465 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 464 ], "CO": [ 466 ], "I0": [ "0" ], "I1": [ 138 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 141 ], "I3": [ 466 ], "O": [ 467 ] } }, "$auto$alumacc.cc:474:replace_alu$513.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 466 ], "CO": [ 423 ], "I0": [ "0" ], "I1": [ 141 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 222 ], "I2": [ 468 ], "I3": [ "0" ], "O": [ 224 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 469 ], "I0": [ 222 ], "I1": [ 468 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 470 ], "I3": [ 471 ], "O": [ 254 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 471 ], "CO": [ 472 ], "I0": [ "0" ], "I1": [ 470 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 473 ], "I3": [ 472 ], "O": [ 257 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 472 ], "CO": [ 474 ], "I0": [ "0" ], "I1": [ 473 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 475 ], "I3": [ 474 ], "O": [ 260 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 474 ], "CO": [ 476 ], "I0": [ "0" ], "I1": [ 475 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 477 ], "I3": [ 476 ], "O": [ 263 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 476 ], "CO": [ 478 ], "I0": [ "0" ], "I1": [ 477 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 479 ], "I3": [ 478 ], "O": [ 266 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 478 ], "CO": [ 480 ], "I0": [ "0" ], "I1": [ 479 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 97 ], "I3": [ 480 ], "O": [ 269 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 481 ], "I3": [ 469 ], "O": [ 227 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 469 ], "CO": [ 482 ], "I0": [ "0" ], "I1": [ 481 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 483 ], "I3": [ 482 ], "O": [ 230 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 482 ], "CO": [ 484 ], "I0": [ "0" ], "I1": [ 483 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 485 ], "I3": [ 484 ], "O": [ 233 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 484 ], "CO": [ 486 ], "I0": [ "0" ], "I1": [ 485 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 487 ], "I3": [ 486 ], "O": [ 236 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 486 ], "CO": [ 488 ], "I0": [ "0" ], "I1": [ 487 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 489 ], "I3": [ 488 ], "O": [ 239 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 488 ], "CO": [ 490 ], "I0": [ "0" ], "I1": [ 489 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 491 ], "I3": [ 490 ], "O": [ 242 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 490 ], "CO": [ 492 ], "I0": [ "0" ], "I1": [ 491 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 493 ], "I3": [ 492 ], "O": [ 245 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 492 ], "CO": [ 494 ], "I0": [ "0" ], "I1": [ 493 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 495 ], "I3": [ 494 ], "O": [ 248 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 494 ], "CO": [ 496 ], "I0": [ "0" ], "I1": [ 495 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 497 ], "I3": [ 496 ], "O": [ 251 ] } }, "$auto$alumacc.cc:474:replace_alu$516.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 496 ], "CO": [ 471 ], "I0": [ "0" ], "I1": [ 497 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1075": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 117 ], "E": [ 56 ], "Q": [ 112 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1077": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 119 ], "E": [ 56 ], "Q": [ 114 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1078": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 121 ], "E": [ 56 ], "Q": [ 26 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1079": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:208|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 115 ], "E": [ 56 ], "Q": [ 33 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1080": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 306 ], "E": [ 57 ], "Q": [ 210 ], "S": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1082": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 309 ], "E": [ 57 ], "Q": [ 308 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1083": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 311 ], "E": [ 57 ], "Q": [ 41 ], "R": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1084": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 123 ], "E": [ 60 ], "Q": [ 35 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1085": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 125 ], "E": [ 60 ], "Q": [ 105 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1086": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 127 ], "E": [ 60 ], "Q": [ 111 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1087": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 129 ], "E": [ 60 ], "Q": [ 108 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1088": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 131 ], "E": [ 60 ], "Q": [ 103 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1089": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 133 ], "E": [ 60 ], "Q": [ 109 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1090": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 135 ], "E": [ 60 ], "Q": [ 104 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1091": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 137 ], "E": [ 60 ], "Q": [ 110 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1092": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 139 ], "E": [ 60 ], "Q": [ 140 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1093": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 142 ], "E": [ 60 ], "Q": [ 143 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1094": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 145 ], "E": [ 60 ], "Q": [ 146 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1095": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 148 ], "E": [ 60 ], "Q": [ 149 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1096": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 151 ], "E": [ 60 ], "Q": [ 152 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1097": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 154 ], "E": [ 60 ], "Q": [ 155 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1098": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 157 ], "E": [ 60 ], "Q": [ 158 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1099": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 160 ], "E": [ 60 ], "Q": [ 161 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1100": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 163 ], "E": [ 60 ], "Q": [ 164 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1101": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 166 ], "E": [ 60 ], "Q": [ 167 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1102": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 169 ], "E": [ 60 ], "Q": [ 170 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1103": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 172 ], "E": [ 60 ], "Q": [ 173 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1104": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 175 ], "E": [ 60 ], "Q": [ 176 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1105": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 178 ], "E": [ 60 ], "Q": [ 179 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1106": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 181 ], "E": [ 60 ], "Q": [ 182 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1107": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 184 ], "E": [ 60 ], "Q": [ 499 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1108": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 499 ], "E": [ 60 ], "Q": [ 500 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1109": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 500 ], "E": [ 60 ], "Q": [ 501 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1110": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 501 ], "E": [ 60 ], "Q": [ 502 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1111": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 502 ], "E": [ 60 ], "Q": [ 503 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1112": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 503 ], "E": [ 60 ], "Q": [ 504 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1113": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 504 ], "E": [ 60 ], "Q": [ 505 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1114": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 505 ], "E": [ 60 ], "Q": [ 185 ], "R": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1115": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 187 ], "E": [ 60 ], "Q": [ 92 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1116": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:232|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 36 ], "Q": [ 59 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1117": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:235|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 276 ], "Q": [ 58 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1118": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:243|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 107 ], "Q": [ 37 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1360": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:62|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 279 ], "Q": [ 318 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1361": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 319 ], "Q": [ 316 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1362": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 334 ], "Q": [ 332 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1363": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 338 ], "Q": [ 336 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1364": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 342 ], "Q": [ 340 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1365": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 346 ], "Q": [ 344 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1366": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 350 ], "Q": [ 348 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1367": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 354 ], "Q": [ 352 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1368": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 358 ], "Q": [ 356 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1369": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 362 ], "Q": [ 360 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1370": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 366 ], "Q": [ 364 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1371": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 324 ], "Q": [ 321 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1372": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 328 ], "Q": [ 326 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1373": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 331 ], "Q": [ 330 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1374": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:75|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 330 ], "Q": [ 290 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1390": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 20 ], "Q": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1391": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 40 ], "Q": [ 29 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1393": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 44 ], "Q": [ 43 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1394": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 24 ], "Q": [ 27 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1395": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 32 ], "Q": [ 31 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1396": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 295 ], "Q": [ 42 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1397": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:62|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 282 ], "Q": [ 369 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1398": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 370 ], "Q": [ 367 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1399": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 385 ], "Q": [ 383 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1400": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 389 ], "Q": [ 387 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1401": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 393 ], "Q": [ 391 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1402": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 397 ], "Q": [ 395 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1403": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 401 ], "Q": [ 399 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1404": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 405 ], "Q": [ 403 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1405": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 409 ], "Q": [ 407 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1406": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 413 ], "Q": [ 411 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1407": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 417 ], "Q": [ 415 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1408": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 375 ], "Q": [ 372 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1409": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 379 ], "Q": [ 377 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1410": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 382 ], "Q": [ 381 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1411": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:75|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 381 ], "Q": [ 292 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1440": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 284 ], "E": [ 64 ], "Q": [ 61 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1441": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 188 ], "E": [ 64 ], "Q": [ 62 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1442": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 14 ], "Q": [ 506 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1443": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 506 ], "Q": [ 63 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1445": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 66 ], "Q": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1489": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 191 ], "E": [ 70 ], "Q": [ 73 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1491": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 194 ], "E": [ 70 ], "Q": [ 71 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1492": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 507 ], "Q": [ 508 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1493": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 508 ], "Q": [ 67 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1495": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 75 ], "Q": [ 274 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1496": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 76 ], "Q": [ 25 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1585": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 197 ], "E": [ 80 ], "Q": [ 83 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1587": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 200 ], "E": [ 80 ], "Q": [ 81 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1588": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 509 ], "Q": [ 510 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1589": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 510 ], "Q": [ 77 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1591": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 86 ], "Q": [ 17 ], "R": [ 283 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1592": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 85 ], "Q": [ 22 ], "R": [ 283 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1670": { "hide_name": 1, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 511 ], "Q": [ 47 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1671": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 512 ], "Q": [ 511 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1672": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 277 ], "Q": [ 512 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1673": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 48 ], "Q": [ 277 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1674": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 49 ], "Q": [ 48 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1675": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 46 ], "Q": [ 49 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1676": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 513 ], "Q": [ 46 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1677": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 50 ], "Q": [ 513 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1696": { "hide_name": 1, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 514 ], "Q": [ 52 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1697": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 515 ], "Q": [ 514 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1698": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 280 ], "Q": [ 515 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1699": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 53 ], "Q": [ 280 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1700": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 54 ], "Q": [ 53 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1701": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 51 ], "Q": [ 54 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1702": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 516 ], "Q": [ 51 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1703": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 55 ], "Q": [ 516 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3893": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 298 ], "Q": [ 297 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3894": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 219 ], "Q": [ 88 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3895": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 220 ], "Q": [ 100 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3896": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 211 ], "Q": [ 65 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3897": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 218 ], "Q": [ 84 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3898": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 213 ], "Q": [ 72 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3899": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 215 ], "Q": [ 74 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3900": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 217 ], "Q": [ 82 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3901": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 206 ], "Q": [ 113 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3902": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 209 ], "Q": [ 208 ] } }, "$auto$simplemap.cc:420:simplemap_dff$3913": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 223 ], "Q": [ 222 ] } }, "$auto$simplemap.cc:420:simplemap_dff$784": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:253|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 91 ], "Q": [ 517 ] } }, "$auto$simplemap.cc:420:simplemap_dff$785": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 299 ], "E": [ 91 ], "Q": [ 87 ] } }, "$auto$simplemap.cc:420:simplemap_dff$787": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 301 ], "E": [ 91 ], "Q": [ 89 ] } }, "$auto$simplemap.cc:420:simplemap_dff$788": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 303 ], "E": [ 91 ], "Q": [ 90 ] } }, "$auto$simplemap.cc:420:simplemap_dff$789": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 152 ], "E": [ 94 ], "Q": [ 317 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$790": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 155 ], "E": [ 94 ], "Q": [ 333 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$791": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 158 ], "E": [ 94 ], "Q": [ 337 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$792": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 161 ], "E": [ 94 ], "Q": [ 341 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$793": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 164 ], "E": [ 94 ], "Q": [ 345 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$794": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 167 ], "E": [ 94 ], "Q": [ 349 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$795": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 170 ], "E": [ 94 ], "Q": [ 353 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$796": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 173 ], "E": [ 94 ], "Q": [ 357 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$797": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 176 ], "E": [ 94 ], "Q": [ 361 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$798": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 179 ], "E": [ 94 ], "Q": [ 365 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$799": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 182 ], "E": [ 94 ], "Q": [ 322 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$800": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 499 ], "E": [ 94 ], "Q": [ 327 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$801": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 35 ], "E": [ 94 ], "Q": [ 368 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$802": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 105 ], "E": [ 94 ], "Q": [ 384 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$803": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 111 ], "E": [ 94 ], "Q": [ 388 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$804": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 108 ], "E": [ 94 ], "Q": [ 392 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$805": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 103 ], "E": [ 94 ], "Q": [ 396 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$806": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 109 ], "E": [ 94 ], "Q": [ 400 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$807": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 104 ], "E": [ 94 ], "Q": [ 404 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$808": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 110 ], "E": [ 94 ], "Q": [ 408 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$809": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 140 ], "E": [ 94 ], "Q": [ 412 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$810": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 143 ], "E": [ 94 ], "Q": [ 416 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$811": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 146 ], "E": [ 94 ], "Q": [ 373 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$812": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 149 ], "E": [ 94 ], "Q": [ 378 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$813": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 505 ], "E": [ 94 ], "Q": [ 518 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$814": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 504 ], "E": [ 94 ], "Q": [ 519 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$815": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 503 ], "E": [ 94 ], "Q": [ 278 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$816": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 502 ], "E": [ 94 ], "Q": [ 520 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$817": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 501 ], "E": [ 94 ], "Q": [ 521 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$818": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 500 ], "E": [ 94 ], "Q": [ 281 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$819": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 164 ], "E": [ 95 ], "Q": [ 203 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$820": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 167 ], "E": [ 95 ], "Q": [ 202 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$821": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 35 ], "E": [ 95 ], "Q": [ 225 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$822": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 105 ], "E": [ 95 ], "Q": [ 228 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$823": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 111 ], "E": [ 95 ], "Q": [ 231 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$824": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 108 ], "E": [ 95 ], "Q": [ 234 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$825": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 103 ], "E": [ 95 ], "Q": [ 237 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$826": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 109 ], "E": [ 95 ], "Q": [ 240 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$827": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 104 ], "E": [ 95 ], "Q": [ 243 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$828": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 110 ], "E": [ 95 ], "Q": [ 246 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$829": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 140 ], "E": [ 95 ], "Q": [ 249 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$830": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 143 ], "E": [ 95 ], "Q": [ 252 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$831": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 146 ], "E": [ 95 ], "Q": [ 255 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$832": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 149 ], "E": [ 95 ], "Q": [ 258 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$833": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 152 ], "E": [ 95 ], "Q": [ 261 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$834": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 155 ], "E": [ 95 ], "Q": [ 264 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$835": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 158 ], "E": [ 95 ], "Q": [ 267 ], "R": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$836": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 498 ], "D": [ 161 ], "E": [ 95 ], "Q": [ 270 ], "S": [ 21 ] } }, "$auto$simplemap.cc:420:simplemap_dff$843": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:170|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 418 ], "Q": [ 99 ] } }, "$auto$simplemap.cc:420:simplemap_dff$845": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:170|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 420 ], "Q": [ 101 ] } }, "$auto$simplemap.cc:420:simplemap_dff$846": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:152|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 289 ], "Q": [ 221 ] } }, "$auto$simplemap.cc:420:simplemap_dff$847": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:173|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 13 ], "D": [ 102 ], "Q": [ 522 ] } }, "$auto$simplemap.cc:420:simplemap_dff$849": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:199|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 285 ], "Q": [ 201 ] } }, "$auto$simplemap.cc:420:simplemap_dff$850": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 421 ], "E": [ 98 ], "Q": [ 122 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$851": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 444 ], "E": [ 98 ], "Q": [ 124 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$852": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 453 ], "E": [ 98 ], "Q": [ 126 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$853": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 455 ], "E": [ 98 ], "Q": [ 128 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$854": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 457 ], "E": [ 98 ], "Q": [ 130 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$855": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 459 ], "E": [ 98 ], "Q": [ 132 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$856": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 461 ], "E": [ 98 ], "Q": [ 134 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$857": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 463 ], "E": [ 98 ], "Q": [ 136 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$858": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 465 ], "E": [ 98 ], "Q": [ 138 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$859": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 467 ], "E": [ 98 ], "Q": [ 141 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$860": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 424 ], "E": [ 98 ], "Q": [ 144 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$861": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 426 ], "E": [ 98 ], "Q": [ 147 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$862": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 428 ], "E": [ 98 ], "Q": [ 150 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$863": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 430 ], "E": [ 98 ], "Q": [ 153 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$864": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 432 ], "E": [ 98 ], "Q": [ 156 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$865": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 434 ], "E": [ 98 ], "Q": [ 159 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$866": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 436 ], "E": [ 98 ], "Q": [ 162 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$867": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 438 ], "E": [ 98 ], "Q": [ 165 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$868": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 440 ], "E": [ 98 ], "Q": [ 168 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$869": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 442 ], "E": [ 98 ], "Q": [ 171 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$870": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 446 ], "E": [ 98 ], "Q": [ 174 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$871": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 448 ], "E": [ 98 ], "Q": [ 177 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$872": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 450 ], "E": [ 98 ], "Q": [ 180 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$873": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 452 ], "E": [ 98 ], "Q": [ 183 ], "R": [ 96 ] } }, "$auto$simplemap.cc:420:simplemap_dff$875": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 226 ], "E": [ 98 ], "Q": [ 468 ] } }, "$auto$simplemap.cc:420:simplemap_dff$876": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 229 ], "E": [ 98 ], "Q": [ 481 ] } }, "$auto$simplemap.cc:420:simplemap_dff$877": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 232 ], "E": [ 98 ], "Q": [ 483 ] } }, "$auto$simplemap.cc:420:simplemap_dff$878": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 235 ], "E": [ 98 ], "Q": [ 485 ] } }, "$auto$simplemap.cc:420:simplemap_dff$879": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 238 ], "E": [ 98 ], "Q": [ 487 ] } }, "$auto$simplemap.cc:420:simplemap_dff$880": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 241 ], "E": [ 98 ], "Q": [ 489 ] } }, "$auto$simplemap.cc:420:simplemap_dff$881": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 244 ], "E": [ 98 ], "Q": [ 491 ] } }, "$auto$simplemap.cc:420:simplemap_dff$882": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 247 ], "E": [ 98 ], "Q": [ 493 ] } }, "$auto$simplemap.cc:420:simplemap_dff$883": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 250 ], "E": [ 98 ], "Q": [ 495 ] } }, "$auto$simplemap.cc:420:simplemap_dff$884": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 253 ], "E": [ 98 ], "Q": [ 497 ] } }, "$auto$simplemap.cc:420:simplemap_dff$885": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 256 ], "E": [ 98 ], "Q": [ 470 ] } }, "$auto$simplemap.cc:420:simplemap_dff$886": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 259 ], "E": [ 98 ], "Q": [ 473 ] } }, "$auto$simplemap.cc:420:simplemap_dff$887": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 262 ], "E": [ 98 ], "Q": [ 475 ] } }, "$auto$simplemap.cc:420:simplemap_dff$888": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 265 ], "E": [ 98 ], "Q": [ 477 ] } }, "$auto$simplemap.cc:420:simplemap_dff$889": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 268 ], "E": [ 98 ], "Q": [ 479 ] } }, "$auto$simplemap.cc:420:simplemap_dff$890": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 271 ], "Q": [ 97 ] } }, "$auto$simplemap.cc:420:simplemap_dff$891": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:225|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 498 ], "D": [ 97 ], "Q": [ 272 ] } }, "$auto$simplemap.cc:420:simplemap_dff$892": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:228|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 498 ], "D": [ 273 ], "Q": [ 186 ], "R": [ 21 ] } }, "$auto$simplemap.cc:496:simplemap_adff$848": { "hide_name": 1, "type": "SB_DFFR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:177|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:17" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 14 ], "D": [ "1" ], "Q": [ 286 ], "R": [ 522 ] } }, "clk_gbuf_I": { "hide_name": 0, "type": "SB_GB", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:256" }, "port_directions": { "GLOBAL_BUFFER_OUTPUT": "output", "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" }, "connections": { "GLOBAL_BUFFER_OUTPUT": [ 498 ], "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 12 ] } }, "i2c_I.io_scl_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 0, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:81" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 507 ], "D_IN_1": [ ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ 498 ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ "0" ], "PACKAGE_PIN": [ 16 ] } }, "i2c_I.io_sda_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 52, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:66|i2c.v:99" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 509 ], "D_IN_1": [ ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ 498 ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ 297 ], "PACKAGE_PIN": [ 15 ] } }, "io_pdm_h_n_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 52, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:191" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ 291 ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ 519 ], "PACKAGE_PIN": [ 6 ] } }, "io_pdm_h_p_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 52, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:173" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ 290 ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ 518 ], "PACKAGE_PIN": [ 8 ] } }, "io_pdm_l_n_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 52, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:227" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ 293 ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ 521 ], "PACKAGE_PIN": [ 7 ] } }, "io_pdm_l_p_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 52, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:209" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ 292 ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ 498 ], "OUTPUT_ENABLE": [ 520 ], "PACKAGE_PIN": [ 9 ] } }, "pm_I.c_chg_iob_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 40, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:76" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ "1" ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ "0" ], "OUTPUT_ENABLE": [ 286 ], "PACKAGE_PIN": [ 2 ] } }, "pm_I.c_dis_iob_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 40, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:94" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ "0" ], "OUTPUT_ENABLE": [ 287 ], "PACKAGE_PIN": [ 3 ] } }, "pm_I.c_hyst_iob_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": 0, "PIN_TYPE": 40, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:132" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ ], "D_IN_1": [ ], "D_OUT_0": [ 204 ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ "0" ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ "0" ], "OUTPUT_ENABLE": [ "1" ], "PACKAGE_PIN": [ 5 ] } }, "pm_I.pm_cmt_iob_I": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "NEG_TRIGGER": 0, "PIN_TYPE": 0, "PULLUP": 0 }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:97|phase_measure.v:113" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "D_OUT_0": "input", "D_OUT_1": "input", "INPUT_CLK": "input", "LATCH_INPUT_VALUE": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 288 ], "D_IN_1": [ ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "INPUT_CLK": [ 498 ], "LATCH_INPUT_VALUE": [ ], "OUTPUT_CLK": [ "0" ], "OUTPUT_ENABLE": [ "0" ], "PACKAGE_PIN": [ 4 ] } }, "rst_gbuf_I": { "hide_name": 0, "type": "SB_GB", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "phase_addon.v:261" }, "port_directions": { "GLOBAL_BUFFER_OUTPUT": "output", "USER_SIGNAL_TO_GLOBAL_BUFFER": "input" }, "connections": { "GLOBAL_BUFFER_OUTPUT": [ 21 ], "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 517 ] } } }, "netnames": { "$0\\rst_cnt[3:0]": { "hide_name": 1, "bits": [ 299, 523, 301, 303 ], "attributes": { "src": "phase_addon.v:249" } }, "$0\\rst_i[0:0]": { "hide_name": 1, "bits": [ 91 ], "attributes": { "src": "phase_addon.v:253" } }, "$abc$3919$n1": { "hide_name": 1, "bits": [ 283 ], "attributes": { } }, "$abc$3919$n117": { "hide_name": 1, "bits": [ 56 ], "attributes": { } }, "$abc$3919$n123": { "hide_name": 1, "bits": [ 57 ], "attributes": { } }, "$abc$3919$n128": { "hide_name": 1, "bits": [ 60 ], "attributes": { } }, "$abc$3919$n135": { "hide_name": 1, "bits": [ 64 ], "attributes": { } }, "$abc$3919$n136": { "hide_name": 1, "bits": [ 66 ], "attributes": { } }, "$abc$3919$n148": { "hide_name": 1, "bits": [ 70 ], "attributes": { } }, "$abc$3919$n153": { "hide_name": 1, "bits": [ 75 ], "attributes": { } }, "$abc$3919$n155": { "hide_name": 1, "bits": [ 76 ], "attributes": { } }, "$abc$3919$n159": { "hide_name": 1, "bits": [ 80 ], "attributes": { } }, "$abc$3919$n170": { "hide_name": 1, "bits": [ 85 ], "attributes": { } }, "$abc$3919$n172": { "hide_name": 1, "bits": [ 86 ], "attributes": { } }, "$abc$3919$n18": { "hide_name": 1, "bits": [ 24 ], "attributes": { } }, "$abc$3919$n180": { "hide_name": 1, "bits": [ 94 ], "attributes": { } }, "$abc$3919$n182": { "hide_name": 1, "bits": [ 95 ], "attributes": { } }, "$abc$3919$n187": { "hide_name": 1, "bits": [ 98 ], "attributes": { } }, "$abc$3919$n223": { "hide_name": 1, "bits": [ 102 ], "attributes": { } }, "$abc$3919$n228": { "hide_name": 1, "bits": [ 107 ], "attributes": { } }, "$abc$3919$n230": { "hide_name": 1, "bits": [ 115 ], "attributes": { } }, "$abc$3919$n24": { "hide_name": 1, "bits": [ 32 ], "attributes": { } }, "$abc$3919$n240": { "hide_name": 1, "bits": [ 269 ], "attributes": { } }, "$abc$3919$n245": { "hide_name": 1, "bits": [ 116 ], "attributes": { } }, "$abc$3919$n246": { "hide_name": 1, "bits": [ 117 ], "attributes": { } }, "$abc$3919$n248": { "hide_name": 1, "bits": [ 118 ], "attributes": { } }, "$abc$3919$n249": { "hide_name": 1, "bits": [ 119 ], "attributes": { } }, "$abc$3919$n250": { "hide_name": 1, "bits": [ 120 ], "attributes": { } }, "$abc$3919$n251": { "hide_name": 1, "bits": [ 121 ], "attributes": { } }, "$abc$3919$n256": { "hide_name": 1, "bits": [ 123 ], "attributes": { } }, "$abc$3919$n258": { "hide_name": 1, "bits": [ 125 ], "attributes": { } }, "$abc$3919$n261": { "hide_name": 1, "bits": [ 127 ], "attributes": { } }, "$abc$3919$n264": { "hide_name": 1, "bits": [ 129 ], "attributes": { } }, "$abc$3919$n266": { "hide_name": 1, "bits": [ 131 ], "attributes": { } }, "$abc$3919$n268": { "hide_name": 1, "bits": [ 133 ], "attributes": { } }, "$abc$3919$n271": { "hide_name": 1, "bits": [ 135 ], "attributes": { } }, "$abc$3919$n273": { "hide_name": 1, "bits": [ 137 ], "attributes": { } }, "$abc$3919$n276": { "hide_name": 1, "bits": [ 139 ], "attributes": { } }, "$abc$3919$n279": { "hide_name": 1, "bits": [ 142 ], "attributes": { } }, "$abc$3919$n282": { "hide_name": 1, "bits": [ 145 ], "attributes": { } }, "$abc$3919$n285": { "hide_name": 1, "bits": [ 148 ], "attributes": { } }, "$abc$3919$n288": { "hide_name": 1, "bits": [ 151 ], "attributes": { } }, "$abc$3919$n291": { "hide_name": 1, "bits": [ 154 ], "attributes": { } }, "$abc$3919$n294": { "hide_name": 1, "bits": [ 157 ], "attributes": { } }, "$abc$3919$n297": { "hide_name": 1, "bits": [ 160 ], "attributes": { } }, "$abc$3919$n300": { "hide_name": 1, "bits": [ 163 ], "attributes": { } }, "$abc$3919$n303": { "hide_name": 1, "bits": [ 166 ], "attributes": { } }, "$abc$3919$n306": { "hide_name": 1, "bits": [ 169 ], "attributes": { } }, "$abc$3919$n309": { "hide_name": 1, "bits": [ 172 ], "attributes": { } }, "$abc$3919$n312": { "hide_name": 1, "bits": [ 175 ], "attributes": { } }, "$abc$3919$n315": { "hide_name": 1, "bits": [ 178 ], "attributes": { } }, "$abc$3919$n318": { "hide_name": 1, "bits": [ 181 ], "attributes": { } }, "$abc$3919$n321": { "hide_name": 1, "bits": [ 184 ], "attributes": { } }, "$abc$3919$n324": { "hide_name": 1, "bits": [ 187 ], "attributes": { } }, "$abc$3919$n329": { "hide_name": 1, "bits": [ 188 ], "attributes": { } }, "$abc$3919$n334": { "hide_name": 1, "bits": [ 189 ], "attributes": { } }, "$abc$3919$n335": { "hide_name": 1, "bits": [ 190 ], "attributes": { } }, "$abc$3919$n336": { "hide_name": 1, "bits": [ 191 ], "attributes": { } }, "$abc$3919$n337": { "hide_name": 1, "bits": [ 192 ], "attributes": { } }, "$abc$3919$n338": { "hide_name": 1, "bits": [ 193 ], "attributes": { } }, "$abc$3919$n339": { "hide_name": 1, "bits": [ 194 ], "attributes": { } }, "$abc$3919$n344": { "hide_name": 1, "bits": [ 195 ], "attributes": { } }, "$abc$3919$n345": { "hide_name": 1, "bits": [ 196 ], "attributes": { } }, "$abc$3919$n346": { "hide_name": 1, "bits": [ 197 ], "attributes": { } }, "$abc$3919$n347": { "hide_name": 1, "bits": [ 198 ], "attributes": { } }, "$abc$3919$n348": { "hide_name": 1, "bits": [ 199 ], "attributes": { } }, "$abc$3919$n349": { "hide_name": 1, "bits": [ 200 ], "attributes": { } }, "$abc$3919$n354": { "hide_name": 1, "bits": [ 206 ], "attributes": { } }, "$abc$3919$n356": { "hide_name": 1, "bits": [ 209 ], "attributes": { } }, "$abc$3919$n358": { "hide_name": 1, "bits": [ 298 ], "attributes": { } }, "$abc$3919$n360": { "hide_name": 1, "bits": [ 211 ], "attributes": { } }, "$abc$3919$n361": { "hide_name": 1, "bits": [ 213 ], "attributes": { } }, "$abc$3919$n362": { "hide_name": 1, "bits": [ 215 ], "attributes": { } }, "$abc$3919$n363": { "hide_name": 1, "bits": [ 217 ], "attributes": { } }, "$abc$3919$n364": { "hide_name": 1, "bits": [ 218 ], "attributes": { } }, "$abc$3919$n367": { "hide_name": 1, "bits": [ 219 ], "attributes": { } }, "$abc$3919$n369": { "hide_name": 1, "bits": [ 220 ], "attributes": { } }, "$abc$3919$n372": { "hide_name": 1, "bits": [ 223 ], "attributes": { } }, "$abc$3919$n373": { "hide_name": 1, "bits": [ 224 ], "attributes": { } }, "$abc$3919$n375": { "hide_name": 1, "bits": [ 226 ], "attributes": { } }, "$abc$3919$n376": { "hide_name": 1, "bits": [ 227 ], "attributes": { } }, "$abc$3919$n378": { "hide_name": 1, "bits": [ 229 ], "attributes": { } }, "$abc$3919$n379": { "hide_name": 1, "bits": [ 230 ], "attributes": { } }, "$abc$3919$n381": { "hide_name": 1, "bits": [ 232 ], "attributes": { } }, "$abc$3919$n382": { "hide_name": 1, "bits": [ 233 ], "attributes": { } }, "$abc$3919$n384": { "hide_name": 1, "bits": [ 235 ], "attributes": { } }, "$abc$3919$n385": { "hide_name": 1, "bits": [ 236 ], "attributes": { } }, "$abc$3919$n387": { "hide_name": 1, "bits": [ 238 ], "attributes": { } }, "$abc$3919$n388": { "hide_name": 1, "bits": [ 239 ], "attributes": { } }, "$abc$3919$n390": { "hide_name": 1, "bits": [ 241 ], "attributes": { } }, "$abc$3919$n391": { "hide_name": 1, "bits": [ 242 ], "attributes": { } }, "$abc$3919$n393": { "hide_name": 1, "bits": [ 244 ], "attributes": { } }, "$abc$3919$n394": { "hide_name": 1, "bits": [ 245 ], "attributes": { } }, "$abc$3919$n396": { "hide_name": 1, "bits": [ 247 ], "attributes": { } }, "$abc$3919$n397": { "hide_name": 1, "bits": [ 248 ], "attributes": { } }, "$abc$3919$n399": { "hide_name": 1, "bits": [ 250 ], "attributes": { } }, "$abc$3919$n400": { "hide_name": 1, "bits": [ 251 ], "attributes": { } }, "$abc$3919$n402": { "hide_name": 1, "bits": [ 253 ], "attributes": { } }, "$abc$3919$n403": { "hide_name": 1, "bits": [ 254 ], "attributes": { } }, "$abc$3919$n405": { "hide_name": 1, "bits": [ 256 ], "attributes": { } }, "$abc$3919$n406": { "hide_name": 1, "bits": [ 257 ], "attributes": { } }, "$abc$3919$n408": { "hide_name": 1, "bits": [ 259 ], "attributes": { } }, "$abc$3919$n409": { "hide_name": 1, "bits": [ 260 ], "attributes": { } }, "$abc$3919$n411": { "hide_name": 1, "bits": [ 262 ], "attributes": { } }, "$abc$3919$n412": { "hide_name": 1, "bits": [ 263 ], "attributes": { } }, "$abc$3919$n414": { "hide_name": 1, "bits": [ 265 ], "attributes": { } }, "$abc$3919$n415": { "hide_name": 1, "bits": [ 266 ], "attributes": { } }, "$abc$3919$n417": { "hide_name": 1, "bits": [ 268 ], "attributes": { } }, "$abc$3919$n419": { "hide_name": 1, "bits": [ 271 ], "attributes": { } }, "$abc$3919$n42": { "hide_name": 1, "bits": [ 40 ], "attributes": { } }, "$abc$3919$n422": { "hide_name": 1, "bits": [ 285 ], "attributes": { } }, "$abc$3919$n426": { "hide_name": 1, "bits": [ 289 ], "attributes": { } }, "$abc$3919$n440": { "hide_name": 1, "bits": [ 291 ], "attributes": { } }, "$abc$3919$n442": { "hide_name": 1, "bits": [ 293 ], "attributes": { } }, "$abc$3919$n445": { "hide_name": 1, "bits": [ 273 ], "attributes": { } }, "$abc$3919$n463": { "hide_name": 1, "bits": [ 276 ], "attributes": { } }, "$abc$3919$n469": { "hide_name": 1, "bits": [ 36 ], "attributes": { } }, "$abc$3919$n475": { "hide_name": 1, "bits": [ 279 ], "attributes": { } }, "$abc$3919$n479": { "hide_name": 1, "bits": [ 282 ], "attributes": { } }, "$abc$3919$n57": { "hide_name": 1, "bits": [ 44 ], "attributes": { } }, "$abc$3919$n58": { "hide_name": 1, "bits": [ 284 ], "attributes": { } }, "$abc$3919$n76": { "hide_name": 1, "bits": [ 295 ], "attributes": { } }, "$abc$3919$n8": { "hide_name": 1, "bits": [ 20 ], "attributes": { } }, "$abc$4116$n263_1": { "hide_name": 1, "bits": [ 19 ], "attributes": { } }, "$abc$4116$n265_1": { "hide_name": 1, "bits": [ 23 ], "attributes": { } }, "$abc$4116$n267_1": { "hide_name": 1, "bits": [ 30 ], "attributes": { } }, "$abc$4116$n268": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, "$abc$4116$n270_1": { "hide_name": 1, "bits": [ 34 ], "attributes": { } }, "$abc$4116$n272": { "hide_name": 1, "bits": [ 39 ], "attributes": { } }, "$abc$4116$n273_1": { "hide_name": 1, "bits": [ 38 ], "attributes": { } }, "$abc$4116$n278": { "hide_name": 1, "bits": [ 45 ], "attributes": { } }, "$abc$4116$n287_1": { "hide_name": 1, "bits": [ 69 ], "attributes": { } }, "$abc$4116$n288": { "hide_name": 1, "bits": [ 68 ], "attributes": { } }, "$abc$4116$n292_1": { "hide_name": 1, "bits": [ 79 ], "attributes": { } }, "$abc$4116$n293_1": { "hide_name": 1, "bits": [ 78 ], "attributes": { } }, "$abc$4116$n298_1": { "hide_name": 1, "bits": [ 93 ], "attributes": { } }, "$abc$4116$n303_1": { "hide_name": 1, "bits": [ 106 ], "attributes": { } }, "$abc$4116$n340_1": { "hide_name": 1, "bits": [ 205 ], "attributes": { } }, "$abc$4116$n342_1": { "hide_name": 1, "bits": [ 207 ], "attributes": { } }, "$abc$4116$n348_1": { "hide_name": 1, "bits": [ 212 ], "attributes": { } }, "$abc$4116$n350_1": { "hide_name": 1, "bits": [ 214 ], "attributes": { } }, "$abc$4116$n352_1": { "hide_name": 1, "bits": [ 216 ], "attributes": { } }, "$abc$4116$n375": { "hide_name": 1, "bits": [ 275 ], "attributes": { } }, "$abc$4116$n385": { "hide_name": 1, "bits": [ 294 ], "attributes": { } }, "$abc$4116$n387": { "hide_name": 1, "bits": [ 296 ], "attributes": { } }, "$auto$alumacc.cc:474:replace_alu$477.C": { "hide_name": 1, "bits": [ 524, 525, 300, 302 ], "attributes": { "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$480.C": { "hide_name": 1, "bits": [ 526, 527, 304, 305 ], "attributes": { "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$483.C": { "hide_name": 1, "bits": [ 528, 529, 307, 310 ], "attributes": { "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$486.C": { "hide_name": 1, "bits": [ 530, 531, 312 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$489.C": { "hide_name": 1, "bits": [ 532, 533, 313 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$492.C": { "hide_name": 1, "bits": [ 534, 535, 314 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$495.C": { "hide_name": 1, "bits": [ 536, 537, 315 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$501.C": { "hide_name": 1, "bits": [ 538, 320, 335, 339, 343, 347, 351, 355, 359, 363, 323, 325, 329 ], "attributes": { "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$507.C": { "hide_name": 1, "bits": [ 539, 371, 386, 390, 394, 398, 402, 406, 410, 414, 374, 376, 380 ], "attributes": { "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$510.C": { "hide_name": 1, "bits": [ 540, 541, 419 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$513.C": { "hide_name": 1, "bits": [ 542, 422, 445, 454, 456, 458, 460, 462, 464, 466, 423, 425, 427, 429, 431, 433, 435, 437, 439, 441, 443, 447, 449, 451 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$516.C": { "hide_name": 1, "bits": [ 543, 469, 482, 484, 486, 488, 490, 492, 494, 496, 471, 472, 474, 476, 478, 480 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$wreduce.cc:347:run$469": { "hide_name": 1, "bits": [ 306, 544, 309, 311, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ], "attributes": { "src": "phase_addon.v:66|i2c.v:221" } }, "$techmap\\pdm_h_I.$add$pdm.v:43$124_Y": { "hide_name": 1, "bits": [ 319, 334, 338, 342, 346, 350, 354, 358, 362, 366, 324, 328, 331 ], "attributes": { "src": "phase_addon.v:148|pdm.v:43" } }, "$techmap\\pdm_l_I.$add$pdm.v:43$124_Y": { "hide_name": 1, "bits": [ 370, 385, 389, 393, 397, 401, 405, 409, 413, 417, 375, 379, 382 ], "attributes": { "src": "phase_addon.v:160|pdm.v:43" } }, "$techmap\\pm_I.$0\\clk_div[2:0]": { "hide_name": 1, "bits": [ 418, 573, 420 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:170" } }, "$techmap\\pm_I.$add$phase_measure.v:207$144_Y": { "hide_name": 1, "bits": [ 421, 444, 453, 455, 457, 459, 461, 463, 465, 467, 424, 426, 428, 430, 432, 434, 436, 438, 440, 442, 446, 448, 450, 452 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:207" } }, "c_chg": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "phase_addon.v:10" } }, "c_dis": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "phase_addon.v:11" } }, "c_hyst": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "phase_addon.v:14" } }, "c_val": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "phase_addon.v:12" } }, "clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:57" } }, "clk_10m": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "phase_addon.v:23" } }, "clk_48m": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "phase_addon.v:22" } }, "gpio": { "hide_name": 0, "bits": [ 10, 11 ], "attributes": { "src": "phase_addon.v:21" } }, "i2c_I.addr_match": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "phase_addon.v:66|i2c.v:74" } }, "i2c_I.bit_ack": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "phase_addon.v:66|i2c.v:62" } }, "i2c_I.bit_cnt": { "hide_name": 0, "bits": [ 112, 113, 114, 26 ], "attributes": { "src": "phase_addon.v:66|i2c.v:60" } }, "i2c_I.bit_last": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "phase_addon.v:66|i2c.v:61" } }, "i2c_I.byte_cnt": { "hide_name": 0, "bits": [ 210, 208, 308, 41 ], "attributes": { "src": "phase_addon.v:66|i2c.v:65" } }, "i2c_I.byte_last": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "phase_addon.v:66|i2c.v:66" } }, "i2c_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:66|i2c.v:26" } }, "i2c_I.data_load": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "phase_addon.v:66|i2c.v:70" } }, "i2c_I.data_reg": { "hide_name": 0, "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502 ], "attributes": { } }, "i2c_I.data_shift": { "hide_name": 0, "bits": [ 58 ], "attributes": { "src": "phase_addon.v:66|i2c.v:71" } }, "i2c_I.fsm_state": { "hide_name": 0, "bits": [ 18, 29, "x", 43, 27, 31, 42 ], "attributes": { "onehot": 1 } }, "i2c_I.io_clk_fall": { "hide_name": 0, "bits": [ 274 ], "attributes": { "src": "phase_addon.v:66|i2c.v:44" } }, "i2c_I.io_clk_rise": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "phase_addon.v:66|i2c.v:43" } }, "i2c_I.io_scl_in": { "hide_name": 0, "bits": [ 507 ], "attributes": { "src": "phase_addon.v:66|i2c.v:34" } }, "i2c_I.io_scl_val": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "phase_addon.v:66|i2c.v:38" } }, "i2c_I.io_sda_in": { "hide_name": 0, "bits": [ 509 ], "attributes": { "src": "phase_addon.v:66|i2c.v:35" } }, "i2c_I.io_sda_oe": { "hide_name": 0, "bits": [ 297 ], "attributes": { "src": "phase_addon.v:66|i2c.v:36" } }, "i2c_I.io_sda_val": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "phase_addon.v:66|i2c.v:39" } }, "i2c_I.io_start_cond": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "phase_addon.v:66|i2c.v:41" } }, "i2c_I.io_stop_cond": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "phase_addon.v:66|i2c.v:42" } }, "i2c_I.pad_scl": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "phase_addon.v:66|i2c.v:17", "unused_bits": "0" } }, "i2c_I.pad_sda": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "phase_addon.v:66|i2c.v:18", "unused_bits": "0" } }, "i2c_I.rd_ack": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "phase_addon.v:66|i2c.v:24" } }, "i2c_I.rd_data": { "hide_name": 0, "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183, "0", "0", "0", "0", "0", "0", "0", 186 ], "attributes": { "src": "phase_addon.v:66|i2c.v:23" } }, "i2c_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:66|i2c.v:27" } }, "i2c_I.scl_glitch_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:21" } }, "i2c_I.scl_glitch_I.cnt": { "hide_name": 0, "bits": [ 73, 72, 71 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:30" } }, "i2c_I.scl_glitch_I.fall": { "hide_name": 0, "bits": [ 274 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:19" } }, "i2c_I.scl_glitch_I.pin_iob_reg": { "hide_name": 0, "bits": [ 507 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:14" } }, "i2c_I.scl_glitch_I.rise": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:18" } }, "i2c_I.scl_glitch_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:22" } }, "i2c_I.scl_glitch_I.state": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:29" } }, "i2c_I.scl_glitch_I.sync": { "hide_name": 0, "bits": [ 508, 67 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:28" } }, "i2c_I.scl_glitch_I.val": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:17" } }, "i2c_I.sda_glitch_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:21" } }, "i2c_I.sda_glitch_I.cnt": { "hide_name": 0, "bits": [ 83, 82, 81 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:30" } }, "i2c_I.sda_glitch_I.cond": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:15" } }, "i2c_I.sda_glitch_I.fall": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:19" } }, "i2c_I.sda_glitch_I.pin_iob_reg": { "hide_name": 0, "bits": [ 509 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:14" } }, "i2c_I.sda_glitch_I.rise": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:18" } }, "i2c_I.sda_glitch_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:22" } }, "i2c_I.sda_glitch_I.state": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:29" } }, "i2c_I.sda_glitch_I.sync": { "hide_name": 0, "bits": [ 510, 77 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:28" } }, "i2c_I.sda_glitch_I.val": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:17" } }, "i2c_I.wr_data": { "hide_name": 0, "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502, 503, 504, 505, 185, 92 ], "attributes": { "src": "phase_addon.v:66|i2c.v:20" } }, "i2c_rd_ack": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "phase_addon.v:36" } }, "i2c_rd_data": { "hide_name": 0, "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183, "0", "0", "0", "0", "0", "0", "0", 186 ], "attributes": { "src": "phase_addon.v:35" } }, "i2c_wr_data": { "hide_name": 0, "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502, 503, 504, 505, 185, 92 ], "attributes": { "src": "phase_addon.v:33" } }, "pdm_h_I.acc": { "hide_name": 0, "bits": [ 316, 332, 336, 340, 344, 348, 352, 356, 360, 364, 321, 326, 330 ], "attributes": { "src": "phase_addon.v:148|pdm.v:29" } }, "pdm_h_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:148|pdm.v:23" } }, "pdm_h_I.dither": { "hide_name": 0, "bits": [ 318 ], "attributes": { "src": "phase_addon.v:148|pdm.v:31" } }, "pdm_h_I.dither_en": { "hide_name": 0, "bits": [ 278 ], "attributes": { "src": "phase_addon.v:148|pdm.v:21" } }, "pdm_h_I.in": { "hide_name": 0, "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327 ], "attributes": { "src": "phase_addon.v:148|pdm.v:20" } }, "pdm_h_I.in_i": { "hide_name": 0, "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327, 330 ], "attributes": { "src": "phase_addon.v:148|pdm.v:28" } }, "pdm_h_I.lfsr_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:148|pdm.v:53|pdm.v:107" } }, "pdm_h_I.lfsr_I.fb": { "hide_name": 0, "bits": [ 50 ], "attributes": { "src": "phase_addon.v:148|pdm.v:53|pdm.v:112" } }, "pdm_h_I.lfsr_I.out": { "hide_name": 0, "bits": [ 47, 511, 512, 277, 48, 49, 46, 513 ], "attributes": { "src": "phase_addon.v:148|pdm.v:53|pdm.v:106" } }, "pdm_h_I.lfsr_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:148|pdm.v:53|pdm.v:108" } }, "pdm_h_I.lfsr_out": { "hide_name": 0, "bits": [ 47, 511, 512, 277, 48, 49, 46, 513 ], "attributes": { "src": "phase_addon.v:148|pdm.v:51" } }, "pdm_h_I.pdm": { "hide_name": 0, "bits": [ 290 ], "attributes": { "src": "phase_addon.v:148|pdm.v:22" } }, "pdm_h_I.pdm_i": { "hide_name": 0, "bits": [ 330 ], "attributes": { "src": "phase_addon.v:148|pdm.v:33" } }, "pdm_h_I.pdm_r": { "hide_name": 0, "bits": [ 290 ], "attributes": { "src": "phase_addon.v:148|pdm.v:74" } }, "pdm_h_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:148|pdm.v:24" } }, "pdm_h_dither": { "hide_name": 0, "bits": [ 278 ], "attributes": { "src": "phase_addon.v:48" } }, "pdm_h_ena_n": { "hide_name": 0, "bits": [ 519 ], "attributes": { "src": "phase_addon.v:47" } }, "pdm_h_ena_p": { "hide_name": 0, "bits": [ 518 ], "attributes": { "src": "phase_addon.v:46" } }, "pdm_h_n": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "phase_addon.v:16" } }, "pdm_h_out_i": { "hide_name": 0, "bits": [ 290 ], "attributes": { "src": "phase_addon.v:53" } }, "pdm_h_p": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "phase_addon.v:18" } }, "pdm_h_val": { "hide_name": 0, "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327 ], "attributes": { "src": "phase_addon.v:44" } }, "pdm_l_I.acc": { "hide_name": 0, "bits": [ 367, 383, 387, 391, 395, 399, 403, 407, 411, 415, 372, 377, 381 ], "attributes": { "src": "phase_addon.v:160|pdm.v:29" } }, "pdm_l_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:160|pdm.v:23" } }, "pdm_l_I.dither": { "hide_name": 0, "bits": [ 369 ], "attributes": { "src": "phase_addon.v:160|pdm.v:31" } }, "pdm_l_I.dither_en": { "hide_name": 0, "bits": [ 281 ], "attributes": { "src": "phase_addon.v:160|pdm.v:21" } }, "pdm_l_I.in": { "hide_name": 0, "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378 ], "attributes": { "src": "phase_addon.v:160|pdm.v:20" } }, "pdm_l_I.in_i": { "hide_name": 0, "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378, 381 ], "attributes": { "src": "phase_addon.v:160|pdm.v:28" } }, "pdm_l_I.lfsr_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:160|pdm.v:53|pdm.v:107" } }, "pdm_l_I.lfsr_I.fb": { "hide_name": 0, "bits": [ 55 ], "attributes": { "src": "phase_addon.v:160|pdm.v:53|pdm.v:112" } }, "pdm_l_I.lfsr_I.out": { "hide_name": 0, "bits": [ 52, 514, 515, 280, 53, 54, 51, 516 ], "attributes": { "src": "phase_addon.v:160|pdm.v:53|pdm.v:106" } }, "pdm_l_I.lfsr_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:160|pdm.v:53|pdm.v:108" } }, "pdm_l_I.lfsr_out": { "hide_name": 0, "bits": [ 52, 514, 515, 280, 53, 54, 51, 516 ], "attributes": { "src": "phase_addon.v:160|pdm.v:51" } }, "pdm_l_I.pdm": { "hide_name": 0, "bits": [ 292 ], "attributes": { "src": "phase_addon.v:160|pdm.v:22" } }, "pdm_l_I.pdm_i": { "hide_name": 0, "bits": [ 381 ], "attributes": { "src": "phase_addon.v:160|pdm.v:33" } }, "pdm_l_I.pdm_r": { "hide_name": 0, "bits": [ 292 ], "attributes": { "src": "phase_addon.v:160|pdm.v:74" } }, "pdm_l_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:160|pdm.v:24" } }, "pdm_l_dither": { "hide_name": 0, "bits": [ 281 ], "attributes": { "src": "phase_addon.v:51" } }, "pdm_l_ena_n": { "hide_name": 0, "bits": [ 521 ], "attributes": { "src": "phase_addon.v:50" } }, "pdm_l_ena_p": { "hide_name": 0, "bits": [ 520 ], "attributes": { "src": "phase_addon.v:49" } }, "pdm_l_n": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "phase_addon.v:17" } }, "pdm_l_out_i": { "hide_name": 0, "bits": [ 292 ], "attributes": { "src": "phase_addon.v:54" } }, "pdm_l_p": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "phase_addon.v:19" } }, "pdm_l_val": { "hide_name": 0, "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378 ], "attributes": { "src": "phase_addon.v:45" } }, "pm_I.ack": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:29" } }, "pm_I.armed": { "hide_name": 0, "bits": [ 97 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:64" } }, "pm_I.armed_cnt": { "hide_name": 0, "bits": [ 468, 481, 483, 485, 487, 489, 491, 493, 495, 497, 470, 473, 475, 477, 479, 97 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:66" } }, "pm_I.armed_p": { "hide_name": 0, "bits": [ 272 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:69" } }, "pm_I.armed_trig": { "hide_name": 0, "bits": [ 222 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:65" } }, "pm_I.c_chg": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:20" } }, "pm_I.c_chg_i": { "hide_name": 0, "bits": [ 286 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:44" } }, "pm_I.c_dis": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:21" } }, "pm_I.c_dis_i": { "hide_name": 0, "bits": [ 287 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:45" } }, "pm_I.c_hyst": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:24" } }, "pm_I.c_hyst_i": { "hide_name": 0, "bits": [ 204 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:46" } }, "pm_I.c_val": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:22", "unused_bits": "0" } }, "pm_I.c_val_i": { "hide_name": 0, "bits": [ 221 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:49" } }, "pm_I.c_val_iob": { "hide_name": 0, "bits": [ 288 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:48" } }, "pm_I.cfg_arm_cnt": { "hide_name": 0, "bits": [ 225, 228, 231, 234, 237, 240, 243, 246, 249, 252, 255, 258, 261, 264, 267, 270 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:33" } }, "pm_I.cfg_hyst_mode": { "hide_name": 0, "bits": [ 203, 202 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:32" } }, "pm_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:36" } }, "pm_I.clk_10m": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:17" } }, "pm_I.clk_1m25": { "hide_name": 0, "bits": [ 522 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:55" } }, "pm_I.clk_div": { "hide_name": 0, "bits": [ 99, 100, 101 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:54" } }, "pm_I.cnt": { "hide_name": 0, "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:27" } }, "pm_I.hist_toggle": { "hide_name": 0, "bits": [ 201 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:60" } }, "pm_I.phase_cnt": { "hide_name": 0, "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:62" } }, "pm_I.phase_det": { "hide_name": 0, "bits": [ 286 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:57" } }, "pm_I.pps": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:18" } }, "pm_I.pps_filter_I.clk": { "hide_name": 0, "bits": [ 498 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:21" } }, "pm_I.pps_filter_I.cnt": { "hide_name": 0, "bits": [ 61, 62 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:30" } }, "pm_I.pps_filter_I.pin_iob_reg": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:14" } }, "pm_I.pps_filter_I.rise": { "hide_name": 0, "bits": [ 96 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:18" } }, "pm_I.pps_filter_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:22" } }, "pm_I.pps_filter_I.state": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:29" } }, "pm_I.pps_filter_I.sync": { "hide_name": 0, "bits": [ 506, 63 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:28" } }, "pm_I.pps_filter_I.val": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:17" } }, "pm_I.pps_i": { "hide_name": 0, "bits": [ 96 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:51" } }, "pm_I.rdy": { "hide_name": 0, "bits": [ 186 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:28" } }, "pm_I.rdy_i": { "hide_name": 0, "bits": [ 186 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:68" } }, "pm_I.rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:97|phase_measure.v:37" } }, "pm_ack": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "phase_addon.v:41" } }, "pm_cfg_arm_cnt": { "hide_name": 0, "bits": [ 225, 228, 231, 234, 237, 240, 243, 246, 249, 252, 255, 258, 261, 264, 267, 270 ], "attributes": { "src": "phase_addon.v:82" } }, "pm_cfg_hyst_mode": { "hide_name": 0, "bits": [ 203, 202 ], "attributes": { "src": "phase_addon.v:81" } }, "pm_rdy": { "hide_name": 0, "bits": [ 186 ], "attributes": { "src": "phase_addon.v:40" } }, "pm_value": { "hide_name": 0, "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ], "attributes": { "src": "phase_addon.v:39" } }, "pps": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "phase_addon.v:24" } }, "rst": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "phase_addon.v:60" } }, "rst_cnt": { "hide_name": 0, "bits": [ 87, 88, 89, 90 ], "attributes": { "init": 0, "src": "phase_addon.v:58" } }, "rst_i": { "hide_name": 0, "bits": [ 517 ], "attributes": { "src": "phase_addon.v:59" } }, "scl": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "phase_addon.v:26" } }, "sda": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "phase_addon.v:25" } } } } } }