{ "creator": "Yosys 0.8+52 (git sha1 9228f015, clang 6.0.0-1ubuntu2 -fPIC -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:648" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649" } } } }, "SB_CARRY": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129" } } } }, "SB_DFF": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135" } } } }, "SB_DFFE": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140" } } } }, "SB_DFFER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188" } } } }, "SB_DFFES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206" } } } }, "SB_DFFESR": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178" } } } }, "SB_DFFESS": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196" } } } }, "SB_DFFN": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216" } } } }, "SB_DFFNE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221" } } } }, "SB_DFFNER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269" } } } }, "SB_DFFNES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287" } } } }, "SB_DFFNESR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277" } } } }, "SB_DFFNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235" } } } }, "SB_DFFNS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251" } } } }, "SB_DFFNSR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243" } } } }, "SB_DFFR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154" } } } }, "SB_DFFS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170" } } } }, "SB_DFFSR": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146" } } } }, "SB_DFFSS": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1117" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1118" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1119" } } } }, "SB_GB": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:113" } } } }, "SB_GB_IO": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:74" } } } }, "SB_HFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:960" }, "ports": { "CLKHFPU": { "direction": "input", "bits": [ 2 ] }, "CLKHFEN": { "direction": "input", "bits": [ 3 ] }, "CLKHF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:963" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:962" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:961" } } } }, "SB_I2C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:994" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1025" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1026" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1005" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1004" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1003" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1002" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1001" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1000" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:999" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:998" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:995" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1013" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1012" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1011" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1010" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1009" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1008" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1007" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1006" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1023" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1022" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1021" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1020" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1019" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1018" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1017" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1016" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:996" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:997" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1014" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1027" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1028" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1015" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1029" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1030" } } } }, "SB_IO": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:8" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1123" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1126" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1132" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1133" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1130" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1131" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1127" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1125" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1128" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1129" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1124" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1134" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1135" } } } }, "SB_IO_OD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1192" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1195" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1202" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1201" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1200" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1199" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1196" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1194" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1197" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1198" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1193" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1091" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1105" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1104" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1103" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1102" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1093" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1092" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1101" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1100" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1099" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1098" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1097" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1096" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1095" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1094" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1106" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1107" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1112" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1108" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1109" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1110" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1111" } } } }, "SB_LFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:969" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:972" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:971" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:970" } } } }, "SB_LUT4": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121" } } } }, "SB_MAC16": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:872" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:876" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:894" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:898" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:890" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:889" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:879" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:877" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:880" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:875" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:874" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:881" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:893" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:873" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:897" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:878" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:882" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:884" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:883" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:896" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:892" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:891" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:888" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:887" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:886" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:885" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:895" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:899" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:791" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:800" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:798" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:797" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:802" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:799" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:793" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:795" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:794" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:796" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:792" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:801" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:805" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:804" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:803" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:826" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:835" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:833" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:832" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:837" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:834" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:827" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:828" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:830" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:829" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:831" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:836" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:840" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:839" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:838" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:757" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:766" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:764" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:763" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:768" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:765" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:758" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:759" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:761" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:760" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:762" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:767" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:771" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:770" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:769" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:695" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:702" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:700" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:699" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:704" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:701" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:697" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:698" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:696" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:703" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:707" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:706" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:705" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:726" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:733" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:731" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:730" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:735" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:732" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:727" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:728" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:729" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:734" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:738" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:737" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:736" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:460" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:466" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:463" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:461" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:465" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:466" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:584" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:590" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:587" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:585" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:589" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:590" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:522" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:528" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:525" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:523" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:527" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:528" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:977" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:978" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:983" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:980" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:984" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:981" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:985" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:982" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:979" } } } }, "SB_SPI": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1037" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1081" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1080" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1079" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1078" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1085" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1084" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1083" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1082" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1057" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1074" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1075" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1048" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1047" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1046" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1044" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1043" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1042" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1041" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1056" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1055" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1054" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1053" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1052" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1051" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1050" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1049" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1068" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1067" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1066" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1065" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1064" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1063" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1062" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1061" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1039" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1040" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1059" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1076" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1077" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1060" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1058" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1072" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1073" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1070" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1071" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:923" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:924" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:925" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:928" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:926" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927" } } } }, "SB_WARMBOOT": { "attributes": { "keep": 1, "blackbox": 1, "cells_not_processed": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:863" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:864" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:866" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:865" } } } }, "top": { "attributes": { "top": 1, "src": "hdl/ice40.v:6" }, "ports": { "clk12m_in": { "direction": "input", "bits": [ 2 ] }, "vga_r": { "direction": "output", "bits": [ 3, 3 ] }, "vga_g": { "direction": "output", "bits": [ 4, 4 ] }, "vga_b": { "direction": "output", "bits": [ 3, 3 ] }, "vga_hsync": { "direction": "output", "bits": [ 5 ] }, "vga_vsync": { "direction": "output", "bits": [ 6 ] }, "spi_mosi": { "direction": "input", "bits": [ 7 ] }, "spi_miso": { "direction": "output", "bits": [ "0" ] }, "spi_clk": { "direction": "input", "bits": [ 8 ] }, "spi_cs": { "direction": "input", "bits": [ 9 ] }, "out1": { "direction": "output", "bits": [ 5 ] }, "out2": { "direction": "output", "bits": [ 6 ] } }, "cells": { "$abc$3383$auto$blifparse.cc:492:parse_blif$3384": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 10 ], "I1": [ 11 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 12 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3385": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 13 ], "I1": [ 14 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 10 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3386": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 16 ], "I2": [ 17 ], "I3": [ 18 ], "O": [ 14 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3387": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 19 ], "I1": [ 20 ], "I2": [ 21 ], "I3": [ 22 ], "O": [ 18 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3388": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 23 ], "I1": [ 24 ], "I2": [ 25 ], "I3": [ 26 ], "O": [ 19 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3389": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 256 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 27 ], "I1": [ 28 ], "I2": [ 29 ], "I3": [ 30 ], "O": [ 20 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3390": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4096 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 31 ], "I1": [ 32 ], "I2": [ 33 ], "I3": [ 34 ], "O": [ 15 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3391": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 35 ], "I1": [ 36 ], "I2": [ 37 ], "I3": [ 17 ], "O": [ 33 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3392": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 38 ], "I1": [ 39 ], "I2": [ 40 ], "I3": [ 41 ], "O": [ 34 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3393": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 42 ], "I1": [ 43 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 11 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3394": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 44 ], "I1": [ 45 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 46 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3395": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 48 ], "I2": [ 49 ], "I3": [ 50 ], "O": [ 51 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3396": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 53 ], "I2": [ 54 ], "I3": [ "0" ], "O": [ 49 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3397": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 55 ], "I1": [ 56 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 53 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3398": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 57 ], "I1": [ 58 ], "I2": [ 59 ], "I3": [ "0" ], "O": [ 56 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3399": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 53 ], "I1": [ 60 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 47 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3400": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 54 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 60 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3401": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 61 ], "I1": [ 62 ], "I2": [ 54 ], "I3": [ 52 ], "O": [ 63 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3402": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 64 ], "I1": [ 61 ], "I2": [ 53 ], "I3": [ "0" ], "O": [ 62 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3403": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 65 ], "I2": [ 49 ], "I3": [ 66 ], "O": [ 67 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3404": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 68 ], "I2": [ 49 ], "I3": [ 69 ], "O": [ 70 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3405": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 71 ], "I1": [ 72 ], "I2": [ 54 ], "I3": [ 52 ], "O": [ 73 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3406": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 74 ], "I1": [ 71 ], "I2": [ 53 ], "I3": [ "0" ], "O": [ 72 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3407": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 75 ], "I2": [ 49 ], "I3": [ 76 ], "O": [ 77 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3408": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 63624 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 47 ], "I1": [ 78 ], "I2": [ 49 ], "I3": [ 79 ], "O": [ 80 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3409": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 2563 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 81 ], "I1": [ 82 ], "I2": [ 54 ], "I3": [ 52 ], "O": [ 83 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3410": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 53 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 81 ], "I2": [ 53 ], "I3": [ "0" ], "O": [ 82 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3411": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 45 ], "I1": [ 44 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 85 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3412": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 86 ], "I1": [ 57 ], "I2": [ 87 ], "I3": [ "0" ], "O": [ 88 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3413": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 248 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 60 ], "I1": [ 4 ], "I2": [ 86 ], "I3": [ "0" ], "O": [ 87 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3414": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 46 ], "I1": [ 86 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 89 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3415": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 86 ], "I1": [ 90 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 91 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3416": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 92 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 93 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3417": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 94 ], "I1": [ 9 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 95 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3418": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 92 ], "I1": [ 96 ], "I2": [ 97 ], "I3": [ 98 ], "O": [ 94 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3419": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ 94 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 99 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3420": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 100 ], "I1": [ 101 ], "I2": [ 102 ], "I3": [ 103 ], "O": [ 104 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3421": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 105 ], "I1": [ 106 ], "I2": [ 107 ], "I3": [ 108 ], "O": [ 100 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3422": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 109 ], "I1": [ 110 ], "I2": [ 111 ], "I3": [ 112 ], "O": [ 101 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3423": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 113 ], "I1": [ 114 ], "I2": [ 115 ], "I3": [ 116 ], "O": [ 102 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3424": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 117 ], "I1": [ 118 ], "I2": [ 119 ], "I3": [ 120 ], "O": [ 103 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3425": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 104 ], "I1": [ 109 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 121 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3426": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 122 ], "I1": [ 123 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 125 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3427": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 126 ], "I1": [ 127 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 124 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3428": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 224 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 128 ], "I1": [ 124 ], "I2": [ 125 ], "I3": [ "0" ], "O": [ 129 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3429": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 7 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 124 ], "I1": [ 123 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 130 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3430": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 123 ], "I1": [ 124 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 131 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3431": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 132 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 133 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3432": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 134 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 135 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3433": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 136 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 137 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3434": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 138 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 139 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3435": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 140 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 141 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3436": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 142 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 143 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3437": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 22 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 144 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3438": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 11 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 145 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 146 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3439": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 147 ], "I2": [ 41 ], "I3": [ 18 ], "O": [ 148 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3440": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 149 ], "I2": [ 40 ], "I3": [ 18 ], "O": [ 150 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3441": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 151 ], "I2": [ 39 ], "I3": [ 18 ], "O": [ 152 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3442": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 153 ], "I2": [ 38 ], "I3": [ 18 ], "O": [ 154 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3443": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 155 ], "I2": [ 32 ], "I3": [ 18 ], "O": [ 156 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3444": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 157 ], "I2": [ 37 ], "I3": [ 18 ], "O": [ 158 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3445": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 159 ], "I2": [ 31 ], "I3": [ 18 ], "O": [ 160 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3446": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 47887 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 15 ], "I1": [ 161 ], "I2": [ 35 ], "I3": [ 18 ], "O": [ 162 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3447": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 163 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 164 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3448": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 165 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 166 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3449": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 167 ], "I1": [ 168 ], "I2": [ 169 ], "I3": [ "0" ], "O": [ 170 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3450": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16384 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ 143 ], "I2": [ 146 ], "I3": [ 141 ], "O": [ 167 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3451": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 164 ], "I1": [ 166 ], "I2": [ 171 ], "I3": [ "0" ], "O": [ 168 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3452": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 241 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 134 ], "I1": [ 132 ], "I2": [ 18 ], "I3": [ "0" ], "O": [ 171 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3453": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 241 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 138 ], "I1": [ 136 ], "I2": [ 18 ], "I3": [ "0" ], "O": [ 169 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3454": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 32 ], "I1": [ 172 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 173 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3455": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 175 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 176 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3456": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 177 ], "I1": [ 178 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 179 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3457": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 180 ], "I1": [ 181 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 182 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3458": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 183 ], "I1": [ 184 ], "I2": [ 46 ], "I3": [ "0" ], "O": [ 185 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3459": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 54 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 186 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3460": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 52 ], "I1": [ 54 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 187 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3461": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 60943 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 188 ], "I1": [ 189 ], "I2": [ 190 ], "I3": [ 55 ], "O": [ 191 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3462": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 51712 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 64 ], "I1": [ 48 ], "I2": [ 58 ], "I3": [ 59 ], "O": [ 188 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3463": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 3082 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 75 ], "I1": [ 74 ], "I2": [ 59 ], "I3": [ 58 ], "O": [ 189 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3464": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 192 ], "I1": [ 193 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 194 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3465": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 197 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 195 ], "I1": [ 196 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 197 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3466": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 198 ], "I1": [ 199 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 200 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3467": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 201 ], "I1": [ 202 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 203 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3468": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 204 ], "I1": [ 205 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 206 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3469": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 207 ], "I1": [ 208 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 209 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3470": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 210 ], "I1": [ 211 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 212 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3471": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 213 ], "I1": [ 214 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 215 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3472": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 216 ], "I1": [ 217 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 218 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3473": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 219 ], "I1": [ 220 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 221 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3474": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 202 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 222 ], "I1": [ 223 ], "I2": [ 124 ], "I3": [ "0" ], "O": [ 224 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3475": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 120 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 35 ], "I2": [ 36 ], "I3": [ "0" ], "O": [ 225 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3476": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 9 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 152 ], "I1": [ 154 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 226 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3477": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 33023 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 227 ], "I1": [ 228 ], "I2": [ 229 ], "I3": [ 230 ], "O": [ 231 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3478": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 152 ], "I1": [ 154 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 227 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3479": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 158 ], "I1": [ 160 ], "I2": [ 162 ], "I3": [ 225 ], "O": [ 228 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3480": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 14 ], "I1": [ 148 ], "I2": [ 150 ], "I3": [ 156 ], "O": [ 229 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3481": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 86 ], "I1": [ 232 ], "I2": [ 45 ], "I3": [ 44 ], "O": [ 233 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3482": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 128 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 56 ], "I1": [ 60 ], "I2": [ 55 ], "I3": [ "0" ], "O": [ 232 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3483": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 122 ], "I1": [ 234 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 235 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3484": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 143 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 236 ], "I1": [ 167 ], "I2": [ 237 ], "I3": [ "0" ], "O": [ 238 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3485": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 32768 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 166 ], "I1": [ 169 ], "I2": [ 171 ], "I3": [ 163 ], "O": [ 236 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3486": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 46 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 239 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3487": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 240 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3488": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 9 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 241 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3489": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 104 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 242 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3490": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 133 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 243 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3491": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 135 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 244 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3492": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 245 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3493": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 139 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 246 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3494": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 144 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 247 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3495": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 162 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 248 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3496": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 160 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 249 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3497": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 158 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 250 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3498": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 156 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 251 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3499": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 154 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 252 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3500": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 152 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 253 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3501": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 150 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 254 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3502": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 148 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 255 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3503": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 14 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 256 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3504": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 96 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 257 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3505": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 110 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 258 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3506": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 58 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 259 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3507": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 260 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 261 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3508": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 225 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 262 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3509": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 164 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 263 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3510": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 166 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 264 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3511": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 126 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 265 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3512": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 266 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 267 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3514": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 16208 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 78 ], "I1": [ 65 ], "I2": [ 58 ], "I3": [ 59 ], "O": [ 268 ] } }, "$abc$3383$auto$blifparse.cc:492:parse_blif$3515": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 62213 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 84 ], "I1": [ 68 ], "I2": [ 58 ], "I3": [ 268 ], "O": [ 190 ] } }, "$auto$alumacc.cc:474:replace_alu$402.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 139 ], "CO": [ 269 ], "I0": [ 164 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$402.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 269 ], "CO": [ 270 ], "I0": [ 166 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$402.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 270 ], "CO": [ 271 ], "I0": [ 137 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$402.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 271 ], "CO": [ 272 ], "I0": [ 135 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$402.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 272 ], "CO": [ 43 ], "I0": [ 133 ], "I1": [ "0" ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 162 ], "CO": [ 273 ], "I0": [ "1" ], "I1": [ 262 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 273 ], "CO": [ 274 ], "I0": [ "0" ], "I1": [ 160 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 274 ], "CO": [ 275 ], "I0": [ "0" ], "I1": [ 158 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 275 ], "CO": [ 276 ], "I0": [ "0" ], "I1": [ 156 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 276 ], "CO": [ 277 ], "I0": [ "0" ], "I1": [ 154 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 277 ], "CO": [ 278 ], "I0": [ "0" ], "I1": [ 152 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 278 ], "CO": [ 279 ], "I0": [ "0" ], "I1": [ 150 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 279 ], "CO": [ 280 ], "I0": [ "0" ], "I1": [ 148 ] } }, "$auto$alumacc.cc:474:replace_alu$412.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 280 ], "CO": [ 230 ], "I0": [ "0" ], "I1": [ 14 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 146 ], "CO": [ 281 ], "I0": [ "0" ], "I1": [ 247 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 281 ], "CO": [ 282 ], "I0": [ "0" ], "I1": [ 143 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 282 ], "CO": [ 283 ], "I0": [ "0" ], "I1": [ 141 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 283 ], "CO": [ 284 ], "I0": [ "0" ], "I1": [ 246 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 284 ], "CO": [ 285 ], "I0": [ "1" ], "I1": [ 263 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 285 ], "CO": [ 286 ], "I0": [ "1" ], "I1": [ 264 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 286 ], "CO": [ 287 ], "I0": [ "0" ], "I1": [ 245 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 287 ], "CO": [ 288 ], "I0": [ "0" ], "I1": [ 244 ] } }, "$auto$alumacc.cc:474:replace_alu$423.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 288 ], "CO": [ 237 ], "I0": [ "0" ], "I1": [ 243 ] } }, "$auto$alumacc.cc:474:replace_alu$434.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 246 ], "CO": [ 289 ], "I0": [ "0" ], "I1": [ 263 ] } }, "$auto$alumacc.cc:474:replace_alu$434.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 289 ], "CO": [ 290 ], "I0": [ "0" ], "I1": [ 264 ] } }, "$auto$alumacc.cc:474:replace_alu$434.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 290 ], "CO": [ 291 ], "I0": [ "1" ], "I1": [ 245 ] } }, "$auto$alumacc.cc:474:replace_alu$434.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 291 ], "CO": [ 292 ], "I0": [ "0" ], "I1": [ 244 ] } }, "$auto$alumacc.cc:474:replace_alu$434.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 292 ], "CO": [ 42 ], "I0": [ "0" ], "I1": [ 243 ] } }, "$auto$alumacc.cc:474:replace_alu$439.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 154 ], "CO": [ 293 ], "I0": [ "0" ], "I1": [ 152 ] } }, "$auto$alumacc.cc:474:replace_alu$439.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 293 ], "CO": [ 294 ], "I0": [ "0" ], "I1": [ 150 ] } }, "$auto$alumacc.cc:474:replace_alu$439.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 294 ], "CO": [ 295 ], "I0": [ "0" ], "I1": [ 148 ] } }, "$auto$alumacc.cc:474:replace_alu$439.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 295 ], "CO": [ 13 ], "I0": [ "0" ], "I1": [ 14 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 128 ], "I3": [ "0" ], "O": [ 192 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 296 ], "I3": [ 297 ], "O": [ 222 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 128 ], "CO": [ 298 ], "I0": [ "0" ], "I1": [ 195 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 299 ], "I3": [ 298 ], "O": [ 198 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 298 ], "CO": [ 300 ], "I0": [ "0" ], "I1": [ 299 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 301 ], "I3": [ 300 ], "O": [ 201 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 300 ], "CO": [ 302 ], "I0": [ "0" ], "I1": [ 301 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 303 ], "I3": [ 302 ], "O": [ 204 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 302 ], "CO": [ 304 ], "I0": [ "0" ], "I1": [ 303 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 305 ], "I3": [ 304 ], "O": [ 207 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 304 ], "CO": [ 306 ], "I0": [ "0" ], "I1": [ 305 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 307 ], "I3": [ 306 ], "O": [ 210 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 306 ], "CO": [ 308 ], "I0": [ "0" ], "I1": [ 307 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 309 ], "I3": [ 308 ], "O": [ 213 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 308 ], "CO": [ 310 ], "I0": [ "0" ], "I1": [ 309 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 311 ], "I3": [ 310 ], "O": [ 216 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 310 ], "CO": [ 312 ], "I0": [ "0" ], "I1": [ 311 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 313 ], "I3": [ 312 ], "O": [ 219 ] } }, "$auto$alumacc.cc:474:replace_alu$444.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 312 ], "CO": [ 297 ], "I0": [ "0" ], "I1": [ 313 ] } }, "$auto$alumacc.cc:474:replace_alu$447.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 92 ], "I3": [ "0" ], "O": [ 314 ] } }, "$auto$alumacc.cc:474:replace_alu$447.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 92 ], "CO": [ 315 ], "I0": [ "0" ], "I1": [ 96 ] } }, "$auto$alumacc.cc:474:replace_alu$447.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 97 ], "I3": [ 315 ], "O": [ 316 ] } }, "$auto$alumacc.cc:474:replace_alu$447.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 315 ], "CO": [ 317 ], "I0": [ "0" ], "I1": [ 97 ] } }, "$auto$alumacc.cc:474:replace_alu$447.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 98 ], "I3": [ 317 ], "O": [ 318 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 109 ], "I3": [ "0" ], "O": [ 319 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 119 ], "I3": [ 320 ], "O": [ 321 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 320 ], "CO": [ 322 ], "I0": [ "0" ], "I1": [ 119 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 120 ], "I3": [ 322 ], "O": [ 323 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 322 ], "CO": [ 324 ], "I0": [ "0" ], "I1": [ 120 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 113 ], "I3": [ 324 ], "O": [ 325 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 324 ], "CO": [ 326 ], "I0": [ "0" ], "I1": [ 113 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 114 ], "I3": [ 326 ], "O": [ 327 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 326 ], "CO": [ 328 ], "I0": [ "0" ], "I1": [ 114 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 115 ], "I3": [ 328 ], "O": [ 329 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 328 ], "CO": [ 330 ], "I0": [ "0" ], "I1": [ 115 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 116 ], "I3": [ 330 ], "O": [ 331 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 109 ], "CO": [ 332 ], "I0": [ "0" ], "I1": [ 110 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 111 ], "I3": [ 332 ], "O": [ 333 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 332 ], "CO": [ 334 ], "I0": [ "0" ], "I1": [ 111 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 112 ], "I3": [ 334 ], "O": [ 335 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 334 ], "CO": [ 336 ], "I0": [ "0" ], "I1": [ 112 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 105 ], "I3": [ 336 ], "O": [ 337 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 336 ], "CO": [ 338 ], "I0": [ "0" ], "I1": [ 105 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 106 ], "I3": [ 338 ], "O": [ 339 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 338 ], "CO": [ 340 ], "I0": [ "0" ], "I1": [ 106 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 107 ], "I3": [ 340 ], "O": [ 341 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 340 ], "CO": [ 342 ], "I0": [ "0" ], "I1": [ 107 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 108 ], "I3": [ 342 ], "O": [ 343 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 342 ], "CO": [ 344 ], "I0": [ "0" ], "I1": [ 108 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 117 ], "I3": [ 344 ], "O": [ 345 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 344 ], "CO": [ 346 ], "I0": [ "0" ], "I1": [ 117 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 118 ], "I3": [ 346 ], "O": [ 347 ] } }, "$auto$alumacc.cc:474:replace_alu$450.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 346 ], "CO": [ 320 ], "I0": [ "0" ], "I1": [ 118 ] } }, "$auto$alumacc.cc:474:replace_alu$453.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 57 ], "I2": [ "0" ], "I3": [ "1" ], "O": [ 348 ] } }, "$auto$alumacc.cc:474:replace_alu$453.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 57 ], "CO": [ 349 ], "I0": [ 58 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$453.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 55 ], "I2": [ "1" ], "I3": [ 349 ], "O": [ 350 ] } }, "$auto$alumacc.cc:474:replace_alu$453.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 349 ], "CO": [ 351 ], "I0": [ 55 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$453.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 59 ], "I2": [ "1" ], "I3": [ 351 ], "O": [ 352 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 90 ], "I3": [ "0" ], "O": [ 353 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 354 ], "I3": [ 355 ], "O": [ 184 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 90 ], "CO": [ 356 ], "I0": [ "0" ], "I1": [ 260 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 357 ], "I3": [ 356 ], "O": [ 358 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 356 ], "CO": [ 359 ], "I0": [ "0" ], "I1": [ 357 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 360 ], "I3": [ 359 ], "O": [ 361 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 359 ], "CO": [ 362 ], "I0": [ "0" ], "I1": [ 360 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 363 ], "I3": [ 362 ], "O": [ 364 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 362 ], "CO": [ 365 ], "I0": [ "0" ], "I1": [ 363 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 366 ], "I3": [ 365 ], "O": [ 367 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 365 ], "CO": [ 368 ], "I0": [ "0" ], "I1": [ 366 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 369 ], "I3": [ 368 ], "O": [ 172 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 368 ], "CO": [ 370 ], "I0": [ "0" ], "I1": [ 369 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 371 ], "I3": [ 370 ], "O": [ 175 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 370 ], "CO": [ 372 ], "I0": [ "0" ], "I1": [ 371 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 373 ], "I3": [ 372 ], "O": [ 178 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 372 ], "CO": [ 374 ], "I0": [ "0" ], "I1": [ 373 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 375 ], "I3": [ 374 ], "O": [ 181 ] } }, "$auto$alumacc.cc:474:replace_alu$456.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 374 ], "CO": [ 355 ], "I0": [ "0" ], "I1": [ 375 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 35 ], "I3": [ "0" ], "O": [ 161 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 35 ], "CO": [ 376 ], "I0": [ "0" ], "I1": [ 36 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 31 ], "I3": [ 376 ], "O": [ 159 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 376 ], "CO": [ 377 ], "I0": [ "0" ], "I1": [ 31 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 37 ], "I3": [ 377 ], "O": [ 157 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 377 ], "CO": [ 378 ], "I0": [ "0" ], "I1": [ 37 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 32 ], "I3": [ 378 ], "O": [ 155 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 378 ], "CO": [ 379 ], "I0": [ "0" ], "I1": [ 32 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 38 ], "I3": [ 379 ], "O": [ 153 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 379 ], "CO": [ 380 ], "I0": [ "0" ], "I1": [ 38 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 39 ], "I3": [ 380 ], "O": [ 151 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 380 ], "CO": [ 381 ], "I0": [ "0" ], "I1": [ 39 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 40 ], "I3": [ 381 ], "O": [ 149 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 381 ], "CO": [ 382 ], "I0": [ "0" ], "I1": [ 40 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 41 ], "I3": [ 382 ], "O": [ 147 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 382 ], "CO": [ 383 ], "I0": [ "0" ], "I1": [ 41 ] } }, "$auto$alumacc.cc:474:replace_alu$459.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 17 ], "I3": [ 383 ], "O": [ 16 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 22 ], "I3": [ "0" ], "O": [ 145 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 22 ], "CO": [ 384 ], "I0": [ "0" ], "I1": [ 21 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 30 ], "I3": [ 384 ], "O": [ 142 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 384 ], "CO": [ 385 ], "I0": [ "0" ], "I1": [ 30 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 23 ], "I3": [ 385 ], "O": [ 140 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 385 ], "CO": [ 386 ], "I0": [ "0" ], "I1": [ 23 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 24 ], "I3": [ 386 ], "O": [ 138 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 386 ], "CO": [ 387 ], "I0": [ "0" ], "I1": [ 24 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 27 ], "I3": [ 387 ], "O": [ 163 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 387 ], "CO": [ 388 ], "I0": [ "0" ], "I1": [ 27 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 28 ], "I3": [ 388 ], "O": [ 165 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 388 ], "CO": [ 389 ], "I0": [ "0" ], "I1": [ 28 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 29 ], "I3": [ 389 ], "O": [ 136 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 389 ], "CO": [ 390 ], "I0": [ "0" ], "I1": [ 29 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 25 ], "I3": [ 390 ], "O": [ 134 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 390 ], "CO": [ 391 ], "I0": [ "0" ], "I1": [ 25 ] } }, "$auto$alumacc.cc:474:replace_alu$462.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 26 ], "I3": [ 391 ], "O": [ 132 ] } }, "$auto$alumacc.cc:474:replace_alu$465.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 252 ], "CO": [ 392 ], "I0": [ 253 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$465.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 254 ], "I2": [ "1" ], "I3": [ 392 ], "O": [ 393 ] } }, "$auto$alumacc.cc:474:replace_alu$465.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 392 ], "CO": [ 394 ], "I0": [ 254 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$465.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 255 ], "I2": [ "1" ], "I3": [ 394 ], "O": [ 395 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1047": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 83 ], "E": [ 240 ], "Q": [ 84 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1048": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 80 ], "E": [ 240 ], "Q": [ 78 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1049": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 77 ], "E": [ 240 ], "Q": [ 75 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1050": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 73 ], "E": [ 240 ], "Q": [ 74 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1051": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 70 ], "E": [ 240 ], "Q": [ 68 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1052": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 67 ], "E": [ 240 ], "Q": [ 65 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1053": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 63 ], "E": [ 240 ], "Q": [ 64 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1054": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 51 ], "E": [ 240 ], "Q": [ 48 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1055": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 348 ], "E": [ 87 ], "Q": [ 57 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1056": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 259 ], "E": [ 88 ], "Q": [ 58 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1057": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 350 ], "E": [ 87 ], "Q": [ 55 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1058": { "hide_name": 1, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 352 ], "E": [ 87 ], "Q": [ 59 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1059": { "hide_name": 1, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 186 ], "Q": [ 52 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1060": { "hide_name": 1, "type": "SB_DFFSS", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 396 ], "D": [ 187 ], "Q": [ 54 ], "S": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1202": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 36 ], "E": [ 86 ], "Q": [ 397 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1203": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 31 ], "E": [ 86 ], "Q": [ 398 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1204": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 37 ], "E": [ 86 ], "Q": [ 399 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1205": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 353 ], "E": [ 89 ], "Q": [ 90 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1206": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 261 ], "E": [ 91 ], "Q": [ 260 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1207": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 358 ], "E": [ 89 ], "Q": [ 357 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1208": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 361 ], "E": [ 89 ], "Q": [ 360 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1209": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 364 ], "E": [ 89 ], "Q": [ 363 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1210": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 367 ], "E": [ 89 ], "Q": [ 366 ], "R": [ 239 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1211": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 173 ], "E": [ 89 ], "Q": [ 369 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1212": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 176 ], "E": [ 89 ], "Q": [ 371 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1213": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 179 ], "E": [ 89 ], "Q": [ 373 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1214": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 182 ], "E": [ 89 ], "Q": [ 375 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1215": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 185 ], "E": [ 89 ], "Q": [ 354 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1216": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 233 ], "Q": [ 44 ], "R": [ 45 ] } }, "$auto$simplemap.cc:420:simplemap_dff$1217": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 85 ], "Q": [ 45 ], "R": [ 45 ] } }, "$auto$simplemap.cc:420:simplemap_dff$550": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 267 ], "E": [ 99 ], "Q": [ 266 ] } }, "$auto$simplemap.cc:420:simplemap_dff$551": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 9 ], "E": [ 95 ], "Q": [ 400 ] } }, "$auto$simplemap.cc:420:simplemap_dff$552": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 8 ], "D": [ 314 ], "Q": [ 92 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$553": { "hide_name": 1, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 8 ], "D": [ 257 ], "E": [ 93 ], "Q": [ 96 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$554": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 8 ], "D": [ 316 ], "Q": [ 97 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$555": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 8 ], "D": [ 318 ], "Q": [ 98 ], "R": [ 9 ] } }, "$auto$simplemap.cc:420:simplemap_dff$556": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 401 ], "E": [ 99 ], "Q": [ 193 ] } }, "$auto$simplemap.cc:420:simplemap_dff$557": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 402 ], "E": [ 99 ], "Q": [ 196 ] } }, "$auto$simplemap.cc:420:simplemap_dff$558": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 403 ], "E": [ 99 ], "Q": [ 199 ] } }, "$auto$simplemap.cc:420:simplemap_dff$559": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 404 ], "E": [ 99 ], "Q": [ 202 ] } }, "$auto$simplemap.cc:420:simplemap_dff$560": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 405 ], "E": [ 99 ], "Q": [ 205 ] } }, "$auto$simplemap.cc:420:simplemap_dff$561": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 406 ], "E": [ 99 ], "Q": [ 208 ] } }, "$auto$simplemap.cc:420:simplemap_dff$562": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 407 ], "E": [ 99 ], "Q": [ 211 ] } }, "$auto$simplemap.cc:420:simplemap_dff$563": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 408 ], "E": [ 99 ], "Q": [ 214 ] } }, "$auto$simplemap.cc:420:simplemap_dff$564": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 409 ], "E": [ 99 ], "Q": [ 217 ] } }, "$auto$simplemap.cc:420:simplemap_dff$565": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 410 ], "E": [ 99 ], "Q": [ 220 ] } }, "$auto$simplemap.cc:420:simplemap_dff$566": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 411 ], "E": [ 99 ], "Q": [ 223 ] } }, "$auto$simplemap.cc:420:simplemap_dff$572": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 400 ], "E": [ 99 ], "Q": [ 123 ] } }, "$auto$simplemap.cc:420:simplemap_dff$574": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 402 ], "E": [ 241 ], "Q": [ 401 ] } }, "$auto$simplemap.cc:420:simplemap_dff$575": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 403 ], "E": [ 241 ], "Q": [ 402 ] } }, "$auto$simplemap.cc:420:simplemap_dff$576": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 404 ], "E": [ 241 ], "Q": [ 403 ] } }, "$auto$simplemap.cc:420:simplemap_dff$577": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 405 ], "E": [ 241 ], "Q": [ 404 ] } }, "$auto$simplemap.cc:420:simplemap_dff$578": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 406 ], "E": [ 241 ], "Q": [ 405 ] } }, "$auto$simplemap.cc:420:simplemap_dff$579": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 407 ], "E": [ 241 ], "Q": [ 406 ] } }, "$auto$simplemap.cc:420:simplemap_dff$580": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 408 ], "E": [ 241 ], "Q": [ 407 ] } }, "$auto$simplemap.cc:420:simplemap_dff$581": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 409 ], "E": [ 241 ], "Q": [ 408 ] } }, "$auto$simplemap.cc:420:simplemap_dff$582": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 410 ], "E": [ 241 ], "Q": [ 409 ] } }, "$auto$simplemap.cc:420:simplemap_dff$583": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 411 ], "E": [ 241 ], "Q": [ 410 ] } }, "$auto$simplemap.cc:420:simplemap_dff$584": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 412 ], "E": [ 241 ], "Q": [ 411 ] } }, "$auto$simplemap.cc:420:simplemap_dff$585": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 413 ], "E": [ 241 ], "Q": [ 412 ] } }, "$auto$simplemap.cc:420:simplemap_dff$586": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 414 ], "E": [ 241 ], "Q": [ 413 ] } }, "$auto$simplemap.cc:420:simplemap_dff$587": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 415 ], "E": [ 241 ], "Q": [ 414 ] } }, "$auto$simplemap.cc:420:simplemap_dff$588": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 7 ], "E": [ 241 ], "Q": [ 415 ] } }, "$auto$simplemap.cc:420:simplemap_dff$589": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 319 ], "E": [ 242 ], "Q": [ 109 ] } }, "$auto$simplemap.cc:420:simplemap_dff$590": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 258 ], "E": [ 121 ], "Q": [ 110 ] } }, "$auto$simplemap.cc:420:simplemap_dff$591": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 333 ], "E": [ 242 ], "Q": [ 111 ] } }, "$auto$simplemap.cc:420:simplemap_dff$592": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 335 ], "E": [ 242 ], "Q": [ 112 ] } }, "$auto$simplemap.cc:420:simplemap_dff$593": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 337 ], "E": [ 242 ], "Q": [ 105 ] } }, "$auto$simplemap.cc:420:simplemap_dff$594": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 339 ], "E": [ 242 ], "Q": [ 106 ] } }, "$auto$simplemap.cc:420:simplemap_dff$595": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 341 ], "E": [ 242 ], "Q": [ 107 ] } }, "$auto$simplemap.cc:420:simplemap_dff$596": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 343 ], "E": [ 242 ], "Q": [ 108 ] } }, "$auto$simplemap.cc:420:simplemap_dff$597": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 345 ], "E": [ 242 ], "Q": [ 117 ] } }, "$auto$simplemap.cc:420:simplemap_dff$598": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 347 ], "E": [ 242 ], "Q": [ 118 ] } }, "$auto$simplemap.cc:420:simplemap_dff$599": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 321 ], "E": [ 242 ], "Q": [ 119 ] } }, "$auto$simplemap.cc:420:simplemap_dff$600": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 323 ], "E": [ 242 ], "Q": [ 120 ] } }, "$auto$simplemap.cc:420:simplemap_dff$601": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 325 ], "E": [ 242 ], "Q": [ 113 ] } }, "$auto$simplemap.cc:420:simplemap_dff$602": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 327 ], "E": [ 242 ], "Q": [ 114 ] } }, "$auto$simplemap.cc:420:simplemap_dff$603": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 329 ], "E": [ 242 ], "Q": [ 115 ] } }, "$auto$simplemap.cc:420:simplemap_dff$604": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 331 ], "E": [ 242 ], "Q": [ 116 ] } }, "$auto$simplemap.cc:420:simplemap_dff$605": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 124 ], "E": [ 130 ], "Q": [ 122 ] } }, "$auto$simplemap.cc:420:simplemap_dff$606": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 194 ], "E": [ 125 ], "Q": [ 128 ] } }, "$auto$simplemap.cc:420:simplemap_dff$607": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 197 ], "E": [ 129 ], "Q": [ 195 ] } }, "$auto$simplemap.cc:420:simplemap_dff$608": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 200 ], "E": [ 125 ], "Q": [ 299 ] } }, "$auto$simplemap.cc:420:simplemap_dff$609": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 203 ], "E": [ 125 ], "Q": [ 301 ] } }, "$auto$simplemap.cc:420:simplemap_dff$610": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 206 ], "E": [ 125 ], "Q": [ 303 ] } }, "$auto$simplemap.cc:420:simplemap_dff$611": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 209 ], "E": [ 125 ], "Q": [ 305 ] } }, "$auto$simplemap.cc:420:simplemap_dff$612": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 212 ], "E": [ 125 ], "Q": [ 307 ] } }, "$auto$simplemap.cc:420:simplemap_dff$613": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 215 ], "E": [ 125 ], "Q": [ 309 ] } }, "$auto$simplemap.cc:420:simplemap_dff$614": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 218 ], "E": [ 125 ], "Q": [ 311 ] } }, "$auto$simplemap.cc:420:simplemap_dff$615": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 221 ], "E": [ 125 ], "Q": [ 313 ] } }, "$auto$simplemap.cc:420:simplemap_dff$616": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 224 ], "E": [ 125 ], "Q": [ 296 ] } }, "$auto$simplemap.cc:420:simplemap_dff$622": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 104 ], "Q": [ 234 ] } }, "$auto$simplemap.cc:420:simplemap_dff$623": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 265 ], "E": [ 124 ], "Q": [ 126 ] } }, "$auto$simplemap.cc:420:simplemap_dff$624": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 193 ], "E": [ 131 ], "Q": [ 416 ] } }, "$auto$simplemap.cc:420:simplemap_dff$625": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 196 ], "E": [ 131 ], "Q": [ 417 ] } }, "$auto$simplemap.cc:420:simplemap_dff$626": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 199 ], "E": [ 131 ], "Q": [ 418 ] } }, "$auto$simplemap.cc:420:simplemap_dff$627": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 202 ], "E": [ 131 ], "Q": [ 419 ] } }, "$auto$simplemap.cc:420:simplemap_dff$628": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 205 ], "E": [ 131 ], "Q": [ 420 ] } }, "$auto$simplemap.cc:420:simplemap_dff$629": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 208 ], "E": [ 131 ], "Q": [ 421 ] } }, "$auto$simplemap.cc:420:simplemap_dff$630": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 211 ], "E": [ 131 ], "Q": [ 422 ] } }, "$auto$simplemap.cc:420:simplemap_dff$631": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 214 ], "E": [ 131 ], "Q": [ 423 ] } }, "$auto$simplemap.cc:420:simplemap_dff$871": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 424 ], "Q": [ 127 ] } }, "$auto$simplemap.cc:420:simplemap_dff$872": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:147|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 8 ], "D": [ 266 ], "Q": [ 425 ] } }, "$auto$simplemap.cc:420:simplemap_dff$873": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 425 ], "Q": [ 424 ] } }, "$auto$simplemap.cc:420:simplemap_dff$893": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 248 ], "Q": [ 35 ] } }, "$auto$simplemap.cc:420:simplemap_dff$894": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 225 ], "Q": [ 36 ] } }, "$auto$simplemap.cc:420:simplemap_dff$895": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 249 ], "Q": [ 31 ] } }, "$auto$simplemap.cc:420:simplemap_dff$896": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 250 ], "Q": [ 37 ] } }, "$auto$simplemap.cc:420:simplemap_dff$897": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 251 ], "Q": [ 32 ] } }, "$auto$simplemap.cc:420:simplemap_dff$898": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 252 ], "Q": [ 38 ] } }, "$auto$simplemap.cc:420:simplemap_dff$899": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 253 ], "Q": [ 39 ] } }, "$auto$simplemap.cc:420:simplemap_dff$900": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 254 ], "Q": [ 40 ] } }, "$auto$simplemap.cc:420:simplemap_dff$901": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 255 ], "Q": [ 41 ] } }, "$auto$simplemap.cc:420:simplemap_dff$902": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 256 ], "Q": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$903": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 145 ], "Q": [ 22 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$904": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 144 ], "Q": [ 21 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$905": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 142 ], "Q": [ 30 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$906": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 140 ], "Q": [ 23 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$907": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 138 ], "Q": [ 24 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$908": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 163 ], "Q": [ 27 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$909": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 165 ], "Q": [ 28 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$910": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 136 ], "Q": [ 29 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$911": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 134 ], "Q": [ 25 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$912": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 132 ], "Q": [ 26 ], "R": [ 18 ] } }, "$auto$simplemap.cc:420:simplemap_dff$917": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 154 ], "Q": [ 174 ] } }, "$auto$simplemap.cc:420:simplemap_dff$918": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 226 ], "Q": [ 177 ] } }, "$auto$simplemap.cc:420:simplemap_dff$919": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 393 ], "Q": [ 180 ] } }, "$auto$simplemap.cc:420:simplemap_dff$920": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 395 ], "Q": [ 183 ] } }, "$auto$simplemap.cc:420:simplemap_dff$922": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 170 ], "Q": [ 86 ] } }, "$auto$simplemap.cc:420:simplemap_dff$925": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 191 ], "Q": [ 3 ], "R": [ 12 ] } }, "$auto$simplemap.cc:420:simplemap_dff$929": { "hide_name": 1, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 396 ], "D": [ 11 ], "Q": [ 4 ], "R": [ 10 ] } }, "$auto$simplemap.cc:420:simplemap_dff$931": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 231 ], "Q": [ 6 ] } }, "$auto$simplemap.cc:420:simplemap_dff$932": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 396 ], "D": [ 238 ], "Q": [ 5 ] } }, "pll0.pll_inst": { "hide_name": 0, "type": "SB_PLL40_2_PAD", "parameters": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DIVF": 66, "DIVQ": 5, "DIVR": 0, "ENABLE_ICEGATE_PORTA": 0, "ENABLE_ICEGATE_PORTB": 0, "FDA_FEEDBACK": 0, "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": 1, "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": 0 }, "attributes": { "module_not_derived": 1, "src": "hdl/ice40.v:24|hdl/lattice/pll.v:18" }, "port_directions": { "BYPASS": "input", "DYNAMICDELAY": "input", "EXTFEEDBACK": "input", "LATCHINPUTVALUE": "input", "LOCK": "output", "PACKAGEPIN": "input", "PLLOUTCOREA": "output", "PLLOUTCOREB": "output", "PLLOUTGLOBALA": "output", "PLLOUTGLOBALB": "output", "RESETB": "input", "SCLK": "input", "SDI": "input", "SDO": "output" }, "connections": { "BYPASS": [ "0" ], "DYNAMICDELAY": [ ], "EXTFEEDBACK": [ ], "LATCHINPUTVALUE": [ ], "LOCK": [ 426 ], "PACKAGEPIN": [ 2 ], "PLLOUTCOREA": [ 427 ], "PLLOUTCOREB": [ 428 ], "PLLOUTGLOBALA": [ 429 ], "PLLOUTGLOBALB": [ 396 ], "RESETB": [ "1" ], "SCLK": [ ], "SDI": [ ], "SDO": [ ] } }, "vga.pixeldata0.chardata.pattern_rom.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "1011110111010000000111001100000110101101110000010110110011000001101011110100000100100110010000010111010100010000000000000000000000000000000000000100010000000000000000000100000001000000000000000100110010000000100011000000000011001000000000000000000000000000", "INIT_1": "1101111000100000000111111110000000110011001100100001101110110001101110110011001100000111011100010100011000110000000000000000000011011101000100000000110111010001000111001101000111011101000100010001011001010001000101110111000101010111001100000000000000000000", "INIT_2": "1101111101100000000100100010000010011000100100000111110110010001101110100001000000010011001000000101010001000000000000000000000011111100100000000011111111000000000111011111000010111111110100010001110111010000001111111110000001111100100000000000000000000000", "INIT_3": "1100110000000000000111011100000011011101110100000001100110010000001110011001000010111101110000000110010000000000000000000000000000110011001000100001001000100000100110110001001001110101000100010011001100010000000110111010000011111110010000000000000000000000", "INIT_4": "1100111000100000111100110000000011100000000100001110000000010000011000000001000011110011000000000100011000100000000000000000000000011101110100010000110011010001001011001100000111101100010000010010010001000001000001000101000100010101010100010001000100010001", "INIT_5": "0000110011000000111111000000000011110000000000001011101000100001011010011000000101100100000100010001010101010001000000000000000011010001000100011100111000110001111111110000000111111111001000111111111100000001010001100011000111011101010100010001000100010001", "INIT_6": "1000000011001100100000001100110010001000110011000110101011001100010001001100110000000101010001000001000001000100000000000000000011010101010000001000000000010000100000000001000010010001000000001001000000000000100100010001000010010000000000000000000000000000", "INIT_7": "1101110000000000000111001101000000011101110000000010110111010001101111110100000000010110011100000101010000000000000000000000000000001000110001000000100111010100000011011101010111001100110101011100100001000101000100000100010100010001010101010000000000000000", "INIT_8": "1010111011111001111111010001100111111101010100011011110101010001101111010101000110111101010100010110010000010001000000000000000000100010000000000001001000100000110111110011000000011111111100010011111111110000100111100110000001100110000000000000000000000000", "INIT_9": "1110111100010000000001111111000010001110011000000110011100010000100010111011000000000111011100000110011100010000000000000000000000110110011000000101010100000000010110001001000001111110100000001001111001110000000101110110000001110110000000000000000000000000", "INIT_A": "1110111011000000000111111110000000001110111100000000111111100000001111101100000000000100010100000011011101100000000000000000000011100011000000011110001100000001111000110010000111111011100000011110001100000001111000110100000101110111010100010000000000000000", "INIT_B": "1011100111010100101101011100110011110100110111001111001011001100001100101101110000010011011001000011001001100100000000000000000011110011000100011101111100110001000111111111000100101110110000000000010001000000000001100110000000100110010000000000000000000000", "INIT_C": "1111101000000000010110111010000011011011101100000111111110110001000111111110000000010111011000000011011101000000000000000000000000111011110001001001101101100100100101110110000011111111000100010001111111110000000100110110010000110010010001000000000000000000", "INIT_D": "1101110011000000111100000000000011110001000100010101000100010001111100010001000111110000000000001101110011000000000000000000000011011100010000000011000100000000101100010001000011011001000100011111110100010000001101010100000001010100010000000000000000000000", "INIT_E": "0100000000000000110000010000000011100010000100001101100100010001111000100001000011000001000000000100000000000000000000000000000010010011001100011011011101010001111001000000000001000000000000001010000000000000101000100000000010000010001000000000000000000000", "INIT_F": "1111110011001100000100010000100000110001000010000011001110011000000110111011000010011011001100011011001100010001000000000000000000010001000100010001000100010001001100010001000010111011000101001011000111000000000101010000000001010000000000000000000000000000", "READ_MODE": 2, "WRITE_MODE": 2 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 397, 398, 399, 430, 431, 432, 433, 434, 435, 436, "0" ], "RCLK": [ 396 ], "RCLKE": [ 46 ], "RDATA": [ 437, 81, 438, 439, 440, 79, 441, 442, 443, 76, 444, 445, 446, 71, 447, 448 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ], "WE": [ "1" ] } }, "vga.pixeldata0.chardata.pattern_rom.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "0000010011011011000011011100000000000101110010110000010101000110000001011110101100000101011000100000000001010111000000000000000000000000000000000000000001000100000001000000000000000100000001000000010000000100000001000000000000000000010001000000000000000000", "INIT_1": "0000000011101110000011001110001100001110111100110000110111110011000001111111101100000101011100110000000001110110000000000000000000001100110111010000110111010001000011011101000000001101110111010000110111010010000011011111001100001100111101110000000000000000", "INIT_2": "0000010011001101000011101110000000001100111110100000110111010111000001001101100000000110011000010000011001100100000000000000000000000100110011000000110011100011000011001101000100000101110110110000010001110001000001000100001100000100010001000000000000000000", "INIT_3": "0000000011001100000001000100000100000100110110010000110011110011000011001101001100000100110010110000000001000110000000000000000000000100111010110000011011101000000011101111100100000101111111010000010011111011000001101110100100000100011011100000000000000000", "INIT_4": "0000000011001100000000000000110100000000000111100000000000011110000000000001011000000000000011010000000001000100000000000000000000011111111100010001110111110010000111011100001000011101110011100001110111000010000111011111001000011111111100010001000100010001", "INIT_5": "0000110011010001000011011100000000001101110011000000110011110111000011001100010000001100110000000000110011000000000000000000000000010001110111010001110111110010000111011100001100010011001000110001000100000011000100010011001000010001000101010001000100010001", "INIT_6": "0000110111010000000011011101000100001100110110010000110011101011000011001100010100000100010001010000010001000001000000000000000000000110011011010000010001111010000001000111101000000100011010110000010001001000000001000101100100000100010010000000000000000000", "INIT_7": "0000000011001100000011101111000000001100111000110000110111010011000001001100100100000100010100000000000001000100000000000000000000001111111100000000111111110001000011001101000100001100110010010000110011001101000001000100010100000100010000000000000000000000", "INIT_8": "0000001000100010000000000100011000000100110110110000110111010011000011001111001100000100110010100000000001000110000000000000000000001101111100100000111111110001000011111111110100001111111100110000111111110001000011111111100100000101011101100000000000000000", "INIT_9": "0000100111111110000001110111000000000000100010000000100101010110000001011101100000000111011100000000000101110110000000000000000000001110111000100000111011100001000011001111011000001100110001000000110011011000000001100110000100000100011001100000000000000000", "INIT_A": "0000000011111111000011111110000000001100110000010000110011010000000011111110001000000110011100010000011001100010000000000000000000000000000011000000000000001100000000100010111000001010100111010000000100111100000001010001111000000100010101010000000000000000", "INIT_B": "0000010011011011000011001100011100001100110101100000110011000000000011001101000000000110011000010000011001100010000000000000000000000001001111110000001111111101000011111111000100001110111000100000011001100000000001100110000000000100011000100000000000000000", "INIT_C": "0000000010101110000000100010010100000000100111010000100111110111000011101110000100000110011000010000010001100011000000000000000000001100111000110000011011101001000000100110110100000001101111110000101011110101000001100110000100000100011000100000000000000000", "INIT_D": "0000000000100110000000000000111100000000000111110000000110011101000000000001111100000000000011110000000000000100000000000000000000000100110011000000010011001010000000010101111100000001000101010000000110011011000000000000001000000100010001000000000000000000", "INIT_E": "0000110011001100000000000000110100000000001111100000000100010101000000000011111000000000000011010000110011001100000000000000000000000001000110010000000100011001000000010001101100000001001101110000000101011111000001000100100000000000000010000000000000000000", "INIT_F": "0000110011001110000010000000000100001000000000110000100010010011000000001001100100000011001110010000000100110011000000000000000000000011001100010000000100110011000000000001001100001100100100010000000011001011000000000010011100000010001000000000000000000000", "READ_MODE": 2, "WRITE_MODE": 2 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 397, 398, 399, 430, 431, 432, 433, 434, 435, 436, "0" ], "RCLK": [ 396 ], "RCLKE": [ 46 ], "RDATA": [ 449, 69, 450, 451, 452, 66, 453, 454, 455, 61, 456, 457, 458, 50, 459, 460 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ "0" ], "WCLKE": [ "0" ], "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ], "WE": [ "1" ] } }, "vga.vram.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "0000001000000010000000100000000000000001000000100000000100000001000000110000001100000010000000000000000000000011000000010000000100000011000000100000001000000000000000000000001000000001000000010000001000000010000000100000000000000000000000110000000000000000", "INIT_1": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000001", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000", "INIT_5": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000", "INIT_9": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000", "INIT_D": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "RCLK": [ 396 ], "RCLKE": [ "1" ], "RDATA": [ 461, 462, 463, 430, 464, 465, 466, 467, 468, 469, 470, 431, 471, 472, 473, 474 ], "RE": [ "1" ], "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "WCLK": [ 396 ], "WCLKE": [ 235 ], "WDATA": [ "x", "x", "x", 416, "x", "x", "x", "x", "x", "x", "x", 417, "x", "x", "x", "x" ], "WE": [ "1" ] } }, "vga.vram.mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "0000001000000011000000110000001100000010000000100000001100000011000000100000000100000010000000000000001000000000000000100000000100000000000000110000000000000010000000010000001100000001000000110000000100000001000000010000000100000000000000010000000100000000", "INIT_1": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "RCLK": [ 396 ], "RCLKE": [ "1" ], "RDATA": [ 475, 476, 477, 432, 478, 479, 480, 481, 482, 483, 484, 433, 485, 486, 487, 488 ], "RE": [ "1" ], "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "WCLK": [ 396 ], "WCLKE": [ 235 ], "WDATA": [ "x", "x", "x", 418, "x", "x", "x", "x", "x", "x", "x", 419, "x", "x", "x", "x" ], "WE": [ "1" ] } }, "vga.vram.mem.2.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "0000000100000000000000010000000000000001000000010000000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000010000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000", "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000100000010", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000", "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000", "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "RCLK": [ 396 ], "RCLKE": [ "1" ], "RDATA": [ 489, 490, 491, 434, 492, 493, 494, 495, 496, 497, 498, 435, 499, 500, 501, 502 ], "RE": [ "1" ], "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "WCLK": [ 396 ], "WCLKE": [ 235 ], "WDATA": [ "x", "x", "x", 420, "x", "x", "x", "x", "x", "x", "x", 421, "x", "x", "x", "x" ], "WE": [ "1" ] } }, "vga.vram.mem.3.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "0000000000000011000000000000001100000000000000110000000000000011000000000000001100000000000000100000000000000011000000000000001100000000000000110000000000000010000000000000001000000000000000110000000000000011000000000000001100000000000000110000000000000011", "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010", "INIT_5": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "READ_MODE": 3, "WRITE_MODE": 3 }, "attributes": { "module_not_derived": 1, "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "RCLK": [ 396 ], "RCLKE": [ "1" ], "RDATA": [ 503, 504, 505, 436, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517 ], "RE": [ "1" ], "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "WCLK": [ 396 ], "WCLKE": [ 235 ], "WDATA": [ "x", "x", "x", 422, "x", "x", "x", "x", "x", "x", "x", 423, "x", "x", "x", "x" ], "WE": [ "1" ] } } }, "netnames": { "$abc$3383$n104": { "hide_name": 1, "bits": [ 88 ], "attributes": { } }, "$abc$3383$n107": { "hide_name": 1, "bits": [ 87 ], "attributes": { } }, "$abc$3383$n110": { "hide_name": 1, "bits": [ 89 ], "attributes": { } }, "$abc$3383$n111": { "hide_name": 1, "bits": [ 91 ], "attributes": { } }, "$abc$3383$n115": { "hide_name": 1, "bits": [ 93 ], "attributes": { } }, "$abc$3383$n116": { "hide_name": 1, "bits": [ 95 ], "attributes": { } }, "$abc$3383$n117": { "hide_name": 1, "bits": [ 241 ], "attributes": { } }, "$abc$3383$n118": { "hide_name": 1, "bits": [ 99 ], "attributes": { } }, "$abc$3383$n123": { "hide_name": 1, "bits": [ 242 ], "attributes": { } }, "$abc$3383$n124": { "hide_name": 1, "bits": [ 121 ], "attributes": { } }, "$abc$3383$n129": { "hide_name": 1, "bits": [ 125 ], "attributes": { } }, "$abc$3383$n136": { "hide_name": 1, "bits": [ 129 ], "attributes": { } }, "$abc$3383$n138": { "hide_name": 1, "bits": [ 130 ], "attributes": { } }, "$abc$3383$n139": { "hide_name": 1, "bits": [ 131 ], "attributes": { } }, "$abc$3383$n141": { "hide_name": 1, "bits": [ 243 ], "attributes": { } }, "$abc$3383$n142": { "hide_name": 1, "bits": [ 244 ], "attributes": { } }, "$abc$3383$n144": { "hide_name": 1, "bits": [ 245 ], "attributes": { } }, "$abc$3383$n148": { "hide_name": 1, "bits": [ 246 ], "attributes": { } }, "$abc$3383$n150": { "hide_name": 1, "bits": [ 141 ], "attributes": { } }, "$abc$3383$n151": { "hide_name": 1, "bits": [ 143 ], "attributes": { } }, "$abc$3383$n153": { "hide_name": 1, "bits": [ 247 ], "attributes": { } }, "$abc$3383$n154": { "hide_name": 1, "bits": [ 146 ], "attributes": { } }, "$abc$3383$n197": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, "$abc$3383$n198": { "hide_name": 1, "bits": [ 148 ], "attributes": { } }, "$abc$3383$n200": { "hide_name": 1, "bits": [ 150 ], "attributes": { } }, "$abc$3383$n201": { "hide_name": 1, "bits": [ 152 ], "attributes": { } }, "$abc$3383$n204": { "hide_name": 1, "bits": [ 156 ], "attributes": { } }, "$abc$3383$n206": { "hide_name": 1, "bits": [ 158 ], "attributes": { } }, "$abc$3383$n207": { "hide_name": 1, "bits": [ 160 ], "attributes": { } }, "$abc$3383$n210": { "hide_name": 1, "bits": [ 162 ], "attributes": { } }, "$abc$3383$n237_1": { "hide_name": 1, "bits": [ 19 ], "attributes": { } }, "$abc$3383$n238": { "hide_name": 1, "bits": [ 20 ], "attributes": { } }, "$abc$3383$n239": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, "$abc$3383$n240": { "hide_name": 1, "bits": [ 33 ], "attributes": { } }, "$abc$3383$n241": { "hide_name": 1, "bits": [ 34 ], "attributes": { } }, "$abc$3383$n244": { "hide_name": 1, "bits": [ 46 ], "attributes": { } }, "$abc$3383$n245_1": { "hide_name": 1, "bits": [ 49 ], "attributes": { } }, "$abc$3383$n246": { "hide_name": 1, "bits": [ 53 ], "attributes": { } }, "$abc$3383$n247": { "hide_name": 1, "bits": [ 56 ], "attributes": { } }, "$abc$3383$n248": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, "$abc$3383$n248_1": { "hide_name": 1, "bits": [ 47 ], "attributes": { } }, "$abc$3383$n249": { "hide_name": 1, "bits": [ 60 ], "attributes": { } }, "$abc$3383$n251": { "hide_name": 1, "bits": [ 62 ], "attributes": { } }, "$abc$3383$n255_1": { "hide_name": 1, "bits": [ 72 ], "attributes": { } }, "$abc$3383$n259_1": { "hide_name": 1, "bits": [ 82 ], "attributes": { } }, "$abc$3383$n267_1": { "hide_name": 1, "bits": [ 94 ], "attributes": { } }, "$abc$3383$n270_1": { "hide_name": 1, "bits": [ 100 ], "attributes": { } }, "$abc$3383$n271_1": { "hide_name": 1, "bits": [ 101 ], "attributes": { } }, "$abc$3383$n272": { "hide_name": 1, "bits": [ 102 ], "attributes": { } }, "$abc$3383$n273_1": { "hide_name": 1, "bits": [ 103 ], "attributes": { } }, "$abc$3383$n278": { "hide_name": 1, "bits": [ 172 ], "attributes": { } }, "$abc$3383$n280": { "hide_name": 1, "bits": [ 175 ], "attributes": { } }, "$abc$3383$n283": { "hide_name": 1, "bits": [ 178 ], "attributes": { } }, "$abc$3383$n286": { "hide_name": 1, "bits": [ 181 ], "attributes": { } }, "$abc$3383$n289": { "hide_name": 1, "bits": [ 184 ], "attributes": { } }, "$abc$3383$n294": { "hide_name": 1, "bits": [ 186 ], "attributes": { } }, "$abc$3383$n295": { "hide_name": 1, "bits": [ 187 ], "attributes": { } }, "$abc$3383$n299": { "hide_name": 1, "bits": [ 167 ], "attributes": { } }, "$abc$3383$n3": { "hide_name": 1, "bits": [ 12 ], "attributes": { } }, "$abc$3383$n300": { "hide_name": 1, "bits": [ 168 ], "attributes": { } }, "$abc$3383$n301": { "hide_name": 1, "bits": [ 171 ], "attributes": { } }, "$abc$3383$n302_1": { "hide_name": 1, "bits": [ 169 ], "attributes": { } }, "$abc$3383$n304": { "hide_name": 1, "bits": [ 192 ], "attributes": { } }, "$abc$3383$n310": { "hide_name": 1, "bits": [ 198 ], "attributes": { } }, "$abc$3383$n313": { "hide_name": 1, "bits": [ 201 ], "attributes": { } }, "$abc$3383$n314_1": { "hide_name": 1, "bits": [ 188 ], "attributes": { } }, "$abc$3383$n315_1": { "hide_name": 1, "bits": [ 189 ], "attributes": { } }, "$abc$3383$n316": { "hide_name": 1, "bits": [ 204 ], "attributes": { } }, "$abc$3383$n319": { "hide_name": 1, "bits": [ 207 ], "attributes": { } }, "$abc$3383$n322": { "hide_name": 1, "bits": [ 210 ], "attributes": { } }, "$abc$3383$n325": { "hide_name": 1, "bits": [ 213 ], "attributes": { } }, "$abc$3383$n328": { "hide_name": 1, "bits": [ 216 ], "attributes": { } }, "$abc$3383$n330_1": { "hide_name": 1, "bits": [ 227 ], "attributes": { } }, "$abc$3383$n331": { "hide_name": 1, "bits": [ 219 ], "attributes": { } }, "$abc$3383$n331_1": { "hide_name": 1, "bits": [ 228 ], "attributes": { } }, "$abc$3383$n332_1": { "hide_name": 1, "bits": [ 229 ], "attributes": { } }, "$abc$3383$n334": { "hide_name": 1, "bits": [ 222 ], "attributes": { } }, "$abc$3383$n334_1": { "hide_name": 1, "bits": [ 232 ], "attributes": { } }, "$abc$3383$n337": { "hide_name": 1, "bits": [ 236 ], "attributes": { } }, "$abc$3383$n361": { "hide_name": 1, "bits": [ 145 ], "attributes": { } }, "$abc$3383$n362": { "hide_name": 1, "bits": [ 144 ], "attributes": { } }, "$abc$3383$n363": { "hide_name": 1, "bits": [ 142 ], "attributes": { } }, "$abc$3383$n364": { "hide_name": 1, "bits": [ 140 ], "attributes": { } }, "$abc$3383$n365": { "hide_name": 1, "bits": [ 138 ], "attributes": { } }, "$abc$3383$n366": { "hide_name": 1, "bits": [ 163 ], "attributes": { } }, "$abc$3383$n366_1": { "hide_name": 1, "bits": [ 268 ], "attributes": { } }, "$abc$3383$n367": { "hide_name": 1, "bits": [ 165 ], "attributes": { } }, "$abc$3383$n367_1": { "hide_name": 1, "bits": [ 190 ], "attributes": { } }, "$abc$3383$n368": { "hide_name": 1, "bits": [ 136 ], "attributes": { } }, "$abc$3383$n369": { "hide_name": 1, "bits": [ 134 ], "attributes": { } }, "$abc$3383$n370": { "hide_name": 1, "bits": [ 132 ], "attributes": { } }, "$abc$3383$n371": { "hide_name": 1, "bits": [ 161 ], "attributes": { } }, "$abc$3383$n373": { "hide_name": 1, "bits": [ 159 ], "attributes": { } }, "$abc$3383$n374": { "hide_name": 1, "bits": [ 157 ], "attributes": { } }, "$abc$3383$n375": { "hide_name": 1, "bits": [ 155 ], "attributes": { } }, "$abc$3383$n376": { "hide_name": 1, "bits": [ 153 ], "attributes": { } }, "$abc$3383$n377": { "hide_name": 1, "bits": [ 151 ], "attributes": { } }, "$abc$3383$n378": { "hide_name": 1, "bits": [ 149 ], "attributes": { } }, "$abc$3383$n379": { "hide_name": 1, "bits": [ 147 ], "attributes": { } }, "$abc$3383$n380": { "hide_name": 1, "bits": [ 16 ], "attributes": { } }, "$abc$3383$n383": { "hide_name": 1, "bits": [ 257 ], "attributes": { } }, "$abc$3383$n386": { "hide_name": 1, "bits": [ 259 ], "attributes": { } }, "$abc$3383$n388": { "hide_name": 1, "bits": [ 261 ], "attributes": { } }, "$abc$3383$n393": { "hide_name": 1, "bits": [ 262 ], "attributes": { } }, "$abc$3383$n395": { "hide_name": 1, "bits": [ 13 ], "attributes": { } }, "$abc$3383$n396": { "hide_name": 1, "bits": [ 42 ], "attributes": { } }, "$abc$3383$n397": { "hide_name": 1, "bits": [ 263 ], "attributes": { } }, "$abc$3383$n398": { "hide_name": 1, "bits": [ 264 ], "attributes": { } }, "$abc$3383$n399": { "hide_name": 1, "bits": [ 43 ], "attributes": { } }, "$abc$3383$n404": { "hide_name": 1, "bits": [ 237 ], "attributes": { } }, "$abc$3383$n406": { "hide_name": 1, "bits": [ 230 ], "attributes": { } }, "$abc$3383$n415": { "hide_name": 1, "bits": [ 233 ], "attributes": { } }, "$abc$3383$n5": { "hide_name": 1, "bits": [ 10 ], "attributes": { } }, "$abc$3383$n58": { "hide_name": 1, "bits": [ 239 ], "attributes": { } }, "$abc$3383$n7": { "hide_name": 1, "bits": [ 18 ], "attributes": { } }, "$abc$3383$n86": { "hide_name": 1, "bits": [ 240 ], "attributes": { } }, "$abc$3383$n91": { "hide_name": 1, "bits": [ 85 ], "attributes": { } }, "$auto$alumacc.cc:474:replace_alu$402.C": { "hide_name": 1, "bits": [ 518, 519, 520, 521, 522, 523, 269, 270, 271, 272 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$412.C": { "hide_name": 1, "bits": [ 524, 525, 273, 274, 275, 276, 277, 278, 279, 280 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$423.C": { "hide_name": 1, "bits": [ 526, 527, 281, 282, 283, 284, 285, 286, 287, 288 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$434.C": { "hide_name": 1, "bits": [ 528, 529, 530, 531, 532, 533, 289, 290, 291, 292 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$439.C": { "hide_name": 1, "bits": [ 534, 535, 536, 537, 538, 539, 540, 293, 294, 295 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$444.C": { "hide_name": 1, "bits": [ 541, 542, 298, 300, 302, 304, 306, 308, 310, 312, 297, 543, 544, 545, 546, 547 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$447.C": { "hide_name": 1, "bits": [ 548, 549, 315, 317 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$450.C": { "hide_name": 1, "bits": [ 550, 551, 332, 334, 336, 338, 340, 342, 344, 346, 320, 322, 324, 326, 328, 330 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$453.C": { "hide_name": 1, "bits": [ 552, 553, 349, 351 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$456.C": { "hide_name": 1, "bits": [ 554, 555, 356, 359, 362, 365, 368, 370, 372, 374, 355 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$459.C": { "hide_name": 1, "bits": [ 556, 557, 376, 377, 378, 379, 380, 381, 382, 383 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$462.C": { "hide_name": 1, "bits": [ 558, 559, 384, 385, 386, 387, 388, 389, 390, 391 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$465.C": { "hide_name": 1, "bits": [ 560, 561, 562, 563, 564, 565, 566, 392, 394 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43" } }, "$techmap482\\vga.vram.mem.3.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 503, 504, 505, 567, 506, 507, 508, 509, 510, 511, 512, 568, 514, 515, 516, 517 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap484\\vga.vram.mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 475, 476, 477, 569, 478, 479, 480, 481, 482, 483, 484, 570, 485, 486, 487, 488 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap486\\vga.vram.mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 461, 462, 463, 571, 464, 465, 466, 467, 468, 469, 470, 572, 471, 472, 473, 474 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap488\\vga.vram.mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 489, 490, 491, 573, 492, 493, 494, 495, 496, 497, 498, 574, 499, 500, 501, 502 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap490\\vga.pixeldata0.chardata.pattern_rom.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 449, 575, 450, 451, 452, 576, 453, 454, 455, 577, 456, 457, 458, 578, 459, 460 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap492\\vga.pixeldata0.chardata.pattern_rom.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 437, 579, 438, 439, 440, 580, 441, 442, 443, 581, 444, 445, 446, 582, 447, 448 ], "attributes": { "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap\\sdi.$add$hdl/spi_debug_ifc.v:45$79_Y": { "hide_name": 1, "bits": [ 314, 583, 316, 318 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45" } }, "$techmap\\vga.pixeldata0.$1\\next_bitcount[3:0]": { "hide_name": 1, "bits": [ 348, 584, 350, 352 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:43" } }, "$techmap\\vga.pixeldata0.chardata.$add$hdl/vga/chardata.v:130$114_Y": { "hide_name": 1, "bits": [ 353, 585, 358, 361, 364, 367, 586, 587, 588, 589, 590 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130" } }, "clk12m": { "hide_name": 0, "bits": [ 429 ], "attributes": { "src": "hdl/ice40.v:22", "unused_bits": "0" } }, "clk12m_in": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "hdl/ice40.v:7" } }, "clk25m": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:21" } }, "out1": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "hdl/ice40.v:17" } }, "out2": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "hdl/ice40.v:18" } }, "pll0.LOCK": { "hide_name": 0, "bits": [ 426 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:15", "unused_bits": "0" } }, "pll0.PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:9" } }, "pll0.PLLOUTCOREA": { "hide_name": 0, "bits": [ 427 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:11", "unused_bits": "0" } }, "pll0.PLLOUTCOREB": { "hide_name": 0, "bits": [ 428 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:12", "unused_bits": "0" } }, "pll0.PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 429 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:13", "unused_bits": "0" } }, "pll0.PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:24|hdl/lattice/pll.v:14" } }, "sdi.addr": { "hide_name": 0, "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:73" } }, "sdi.addr_next": { "hide_name": 0, "bits": [ 194, 197, 200, 203, 206, 209, 212, 215, 218, 221, 224, "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:77" } }, "sdi.data": { "hide_name": 0, "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:74" } }, "sdi.data_next": { "hide_name": 0, "bits": [ 193, 196, 199, 202, 205, 208, 211, 214, "x", "x", "x", "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:78" } }, "sdi.delay": { "hide_name": 0, "bits": [ 109, 110, 111, 112, 105, 106, 107, 108, 117, 118, 119, 120, 113, 114, 115, 116 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:83" } }, "sdi.delay_next": { "hide_name": 0, "bits": [ 319, 258, 333, 335, 337, 339, 341, 343, 345, 347, 321, 323, 325, 327, 329, 331 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:84" } }, "sdi.enabled": { "hide_name": 0, "bits": [ 234 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:72" } }, "sdi.enabled_next": { "hide_name": 0, "bits": [ 104 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:79" } }, "sdi.spi_clk": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:7" } }, "sdi.spi_count": { "hide_name": 0, "bits": [ 92, 96, 97, 98 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:19" } }, "sdi.spi_cs_i": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:8" } }, "sdi.spi_data": { "hide_name": 0, "bits": [ 193, 196, 199, 202, 205, 208, 211, 214, 217, 220, 223, "x", "x", "x", "x", "x", 123 ], "attributes": { "init": 0, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:18" } }, "sdi.spi_data_i": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:9" } }, "sdi.spi_data_next": { "hide_name": 0, "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, "x", "x", "x", "x", "x", 400 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:28" } }, "sdi.spi_flag": { "hide_name": 0, "bits": [ 400 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:21" } }, "sdi.spi_flag_next": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:31" } }, "sdi.spi_next": { "hide_name": 0, "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 7 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:25" } }, "sdi.spi_shift": { "hide_name": 0, "bits": [ "x", 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415 ], "attributes": { "init": 0, "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:17" } }, "sdi.spi_shift_next": { "hide_name": 0, "bits": [ "x", 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 7 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:27" } }, "sdi.spi_signal": { "hide_name": 0, "bits": [ 266 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:20" } }, "sdi.spi_signal_next": { "hide_name": 0, "bits": [ 267 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:30" } }, "sdi.sync_spi_sys.a": { "hide_name": 0, "bits": [ 425 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:142" } }, "sdi.sync_spi_sys.b": { "hide_name": 0, "bits": [ 424 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145" } }, "sdi.sync_spi_sys.c": { "hide_name": 0, "bits": [ 127 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145" } }, "sdi.sync_spi_sys.rxclk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:138" } }, "sdi.sync_spi_sys.rxdat": { "hide_name": 0, "bits": [ 127 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:139" } }, "sdi.sync_spi_sys.txclk": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:136" } }, "sdi.sync_spi_sys.txdat": { "hide_name": 0, "bits": [ 266 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:137" } }, "sdi.sys_clk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:11" } }, "sdi.sys_signal": { "hide_name": 0, "bits": [ 127 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:62" } }, "sdi.sys_signal_ack": { "hide_name": 0, "bits": [ 126 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:71" } }, "sdi.sys_signal_ack_next": { "hide_name": 0, "bits": [ 265 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:80" } }, "sdi.sys_waddr_o": { "hide_name": 0, "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:13" } }, "sdi.sys_wdata_o": { "hide_name": 0, "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:14" } }, "sdi.sys_wr_o": { "hide_name": 0, "bits": [ 235 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:12" } }, "sdi.wr": { "hide_name": 0, "bits": [ 122 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:75" } }, "sdi.wr_next": { "hide_name": 0, "bits": [ 124 ], "attributes": { "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:81" } }, "spi_clk": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "hdl/ice40.v:15" } }, "spi_cs": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "hdl/ice40.v:16" } }, "spi_miso": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "hdl/ice40.v:14" } }, "spi_mosi": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "hdl/ice40.v:13" } }, "vga.advance": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:19" } }, "vga.b": { "hide_name": 0, "bits": [ 4, 4, 4, 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:16" } }, "vga.blu": { "hide_name": 0, "bits": [ 4, 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:6" } }, "vga.clk25m": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:3" } }, "vga.g": { "hide_name": 0, "bits": [ 3, 3, 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:15" } }, "vga.grn": { "hide_name": 0, "bits": [ 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:5" } }, "vga.hs": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:7" } }, "vga.line": { "hide_name": 0, "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:20" } }, "vga.newline": { "hide_name": 0, "bits": [ 86 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:18" } }, "vga.pixel": { "hide_name": 0, "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:21" } }, "vga.pixeldata0.advance": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:17" } }, "vga.pixeldata0.bitcount": { "hide_name": 0, "bits": [ 57, 58, 55, 59 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:40" } }, "vga.pixeldata0.cdata": { "hide_name": 0, "bits": [ 84, 78, 75, 74, 68, 65, 64, 48 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:39" } }, "vga.pixeldata0.chardata.cdata": { "hide_name": 0, "bits": [ 81, 79, 76, 71, 69, 66, 61, 50 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:92" } }, "vga.pixeldata0.chardata.clk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:88" } }, "vga.pixeldata0.chardata.line": { "hide_name": 0, "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:91" } }, "vga.pixeldata0.chardata.newline": { "hide_name": 0, "bits": [ 86 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:89" } }, "vga.pixeldata0.chardata.next_addr": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", 173, 176, 179, 182, 185 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:106" } }, "vga.pixeldata0.chardata.next_pline": { "hide_name": 0, "bits": [ 36, 31, 37 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:103" } }, "vga.pixeldata0.chardata.pline": { "hide_name": 0, "bits": [ 397, 398, 399 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:103" } }, "vga.pixeldata0.chardata.state": { "hide_name": 0, "bits": [ 44, 45 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:105" } }, "vga.pixeldata0.chardata.vram_addr": { "hide_name": 0, "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:95" } }, "vga.pixeldata0.chardata.vram_data": { "hide_name": 0, "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:94" } }, "vga.pixeldata0.clk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:15" } }, "vga.pixeldata0.line": { "hide_name": 0, "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:18" } }, "vga.pixeldata0.new_cdata": { "hide_name": 0, "bits": [ 81, 79, 76, 71, 69, 66, 61, 50 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:26" } }, "vga.pixeldata0.newline": { "hide_name": 0, "bits": [ 86 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:16" } }, "vga.pixeldata0.next_cdata": { "hide_name": 0, "bits": [ 83, 80, 77, 73, 70, 67, 63, 51 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:39" } }, "vga.pixeldata0.pixel": { "hide_name": 0, "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:20" } }, "vga.pixeldata0.state": { "hide_name": 0, "bits": [ 52, 54 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:41" } }, "vga.pixeldata0.vram_addr": { "hide_name": 0, "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:23" } }, "vga.pixeldata0.vram_data": { "hide_name": 0, "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:22" } }, "vga.r": { "hide_name": 0, "bits": [ 3, 3, 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:14" } }, "vga.red": { "hide_name": 0, "bits": [ 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:4" } }, "vga.vga0.advance": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:19" } }, "vga.vga0.b": { "hide_name": 0, "bits": [ 4, 4, 4, 4 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:16" } }, "vga.vga0.clk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:11" } }, "vga.vga0.g": { "hide_name": 0, "bits": [ 3, 3, 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:15" } }, "vga.vga0.hcount": { "hide_name": 0, "bits": [ 22, 21, 30, 23, 24, 27, 28, 29, 25, 26 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:24" } }, "vga.vga0.hs": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:12" } }, "vga.vga0.line": { "hide_name": 0, "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:20" } }, "vga.vga0.newline": { "hide_name": 0, "bits": [ 86 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:18" } }, "vga.vga0.next_hcount": { "hide_name": 0, "bits": [ 591, 592, 593, 594, 139, 164, 166, 137, 135, 133 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:27" } }, "vga.vga0.next_hs": { "hide_name": 0, "bits": [ 238 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:29" } }, "vga.vga0.next_line": { "hide_name": 0, "bits": [ "x", 225, 249, 250, 251, 154, 226, 393, 395 ], "attributes": { } }, "vga.vga0.next_startline": { "hide_name": 0, "bits": [ 170 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:31" } }, "vga.vga0.next_vcount": { "hide_name": 0, "bits": [ 248, 225, 249, 250, 251, 252, 253, 254, 255, 256 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:28" } }, "vga.vga0.next_vs": { "hide_name": 0, "bits": [ 231 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:29" } }, "vga.vga0.pixel": { "hide_name": 0, "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:21" } }, "vga.vga0.r": { "hide_name": 0, "bits": [ 3, 3, 3, 3 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:14" } }, "vga.vga0.vcount": { "hide_name": 0, "bits": [ 35, 36, 31, 37, 32, 38, 39, 40, 41, 17 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:25" } }, "vga.vga0.vs": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:13" } }, "vga.vram.clk": { "hide_name": 0, "bits": [ 396 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:8" } }, "vga.vram.raddr": { "hide_name": 0, "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:11" } }, "vga.vram.rdata": { "hide_name": 0, "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:12" } }, "vga.vram.waddr": { "hide_name": 0, "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:9" } }, "vga.vram.wdata": { "hide_name": 0, "bits": [ 416, 417, 418, 419, 420, 421, 422, 423 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:10" } }, "vga.vram.we": { "hide_name": 0, "bits": [ 235 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:8" } }, "vga.vram_raddr": { "hide_name": 0, "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:40" } }, "vga.vram_rdata": { "hide_name": 0, "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:41" } }, "vga.vram_waddr": { "hide_name": 0, "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:9" } }, "vga.vram_wdata": { "hide_name": 0, "bits": [ 416, 417, 418, 419, 420, 421, 422, 423 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:10" } }, "vga.vram_we": { "hide_name": 0, "bits": [ 235 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:11" } }, "vga.vs": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:8" } }, "vga_b": { "hide_name": 0, "bits": [ 3, 3 ], "attributes": { "src": "hdl/ice40.v:10" } }, "vga_g": { "hide_name": 0, "bits": [ 4, 4 ], "attributes": { "src": "hdl/ice40.v:9" } }, "vga_hsync": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "hdl/ice40.v:11" } }, "vga_r": { "hide_name": 0, "bits": [ 3, 3 ], "attributes": { "src": "hdl/ice40.v:8" } }, "vga_vsync": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "hdl/ice40.v:12" } }, "waddr": { "hide_name": 0, "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:45" } }, "wdata": { "hide_name": 0, "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ], "attributes": { "src": "hdl/ice40.v:46" } }, "we": { "hide_name": 0, "bits": [ 235 ], "attributes": { "src": "hdl/ice40.v:47" } } } } } }