create_clock -period 83.333 -name {clk12m_in} [get_ports {clk12m_in}] create_clock -period 166.666 -name {spi_clk} [get_ports {spi_clk}]