{ "creator": "Yosys 0.8+64 (git sha1 1bb728e, clang 3.8.0-2ubuntu4 -fPIC -Os)", "modules": { "ICESTORM_LC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649" } } } }, "SB_CARRY": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129" } } } }, "SB_DFF": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135" } } } }, "SB_DFFE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140" } } } }, "SB_DFFER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188" } } } }, "SB_DFFES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206" } } } }, "SB_DFFESR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178" } } } }, "SB_DFFESS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196" } } } }, "SB_DFFN": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216" } } } }, "SB_DFFNE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221" } } } }, "SB_DFFNER": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269" } } } }, "SB_DFFNES": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287" } } } }, "SB_DFFNESR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277" } } } }, "SB_DFFNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235" } } } }, "SB_DFFNS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251" } } } }, "SB_DFFNSR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243" } } } }, "SB_DFFR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154" } } } }, "SB_DFFS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170" } } } }, "SB_DFFSR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146" } } } }, "SB_DFFSS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119" } } } }, "SB_GB": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113" } } } }, "SB_GB_IO": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74" } } } }, "SB_HFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960" }, "ports": { "CLKHFPU": { "direction": "input", "bits": [ 2 ] }, "CLKHFEN": { "direction": "input", "bits": [ 3 ] }, "CLKHF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961" } } } }, "SB_I2C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030" } } } }, "SB_IO": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135" } } } }, "SB_IO_OD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111" } } } }, "SB_LFOSC": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970" } } } }, "SB_LUT4": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121" } } } }, "SB_MAC16": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { }, "netnames": { "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979" } } } }, "SB_SPI": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927" } } } }, "SB_WARMBOOT": { "attributes": { "blackbox": 1, "keep": 1, "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865" } } } }, "hx8kbb": { "attributes": { "top": 1, "src": "hx8kbb.v:5" }, "ports": { "BCLK": { "direction": "input", "bits": [ 2 ] }, "LRCLK": { "direction": "input", "bits": [ 3 ] }, "SDIN": { "direction": "input", "bits": [ 4 ] }, "SCLp": { "direction": "output", "bits": [ 5 ] }, "SCLn": { "direction": "output", "bits": [ 6 ] }, "CSp": { "direction": "output", "bits": [ 7 ] }, "CSn": { "direction": "output", "bits": [ 8 ] }, "DOp": { "direction": "output", "bits": [ 9 ] }, "DOn": { "direction": "output", "bits": [ 10 ] } }, "cells": { "$abc$12689$auto$blifparse.cc:492:parse_blif$12690": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 11 ], "I1": [ 12 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 13 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12691": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 14 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 15 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12692": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 16 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 17 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12693": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 18 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 19 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12694": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 20 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 21 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12695": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 22 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 23 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12696": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 24 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 25 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12697": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 26 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 27 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12698": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 28 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 29 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12699": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 30 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 31 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12700": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 32 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 33 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12701": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 34 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 35 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12702": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 36 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 37 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12703": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 38 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 39 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12704": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 40 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 41 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12705": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 42 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 43 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12706": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 44 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 45 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12707": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 46 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 47 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12708": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 48 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 49 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12709": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 50 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 51 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12710": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 52 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 53 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12711": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 54 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 55 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12712": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 56 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 57 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12713": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 58 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 59 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12714": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 60 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 61 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12715": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 62 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 63 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12716": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 64 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 65 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12717": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 66 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 67 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12718": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 68 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 69 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12719": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 70 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 71 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12720": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 72 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 73 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12721": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 74 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 75 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12722": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 76 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 77 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12723": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 78 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 79 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12724": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 80 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 81 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12725": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 82 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 83 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12726": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 84 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 85 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12727": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 86 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 87 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12728": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 88 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 89 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12729": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 90 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 91 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12730": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 92 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 93 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12731": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 94 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 95 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12732": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 96 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 97 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12733": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 98 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 99 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12734": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 100 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 101 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12735": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 102 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 103 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12736": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 104 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 105 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12737": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 106 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 107 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12738": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 108 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 109 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12739": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 110 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 111 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12740": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 112 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 113 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12741": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 114 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 115 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12742": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 116 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 117 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12743": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 118 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 119 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12744": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 120 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 121 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12745": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 122 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 123 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12746": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 124 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 125 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12747": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 126 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 127 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12748": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 128 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 129 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12749": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 130 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 131 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12750": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 132 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 133 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12751": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 134 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 135 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12752": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 136 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 137 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12753": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 138 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 139 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12754": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 140 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 141 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12755": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 142 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 143 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12756": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 144 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 145 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12757": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 146 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 147 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12758": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 148 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 149 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12759": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 150 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 151 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12760": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 152 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 153 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12761": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 154 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 155 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12762": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 156 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 157 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12763": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 158 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 159 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12764": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 160 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 161 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12765": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 162 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 163 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12766": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 164 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 165 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12767": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 166 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 167 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12768": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 168 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 169 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12769": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 170 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 171 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12770": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 172 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 173 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12771": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 174 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 175 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12772": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 176 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 177 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12773": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 178 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 179 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12774": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 180 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 181 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12775": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 182 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 183 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12776": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 184 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 185 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12777": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 186 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 187 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12778": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 188 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 189 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12779": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 190 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 191 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12780": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 192 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 193 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12781": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 194 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 195 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12782": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 196 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 197 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12783": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 198 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 199 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12784": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 200 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 201 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12785": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 202 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 203 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12786": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 204 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 205 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12787": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 206 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 207 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12788": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 208 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 209 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12789": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 210 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 211 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12790": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 212 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 213 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12791": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 214 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 215 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12792": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 216 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 217 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12793": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 218 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 219 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12794": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 220 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 221 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12795": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 222 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 223 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12796": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 224 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 225 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12797": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 226 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 227 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12798": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 228 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 229 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12799": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 230 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 231 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12800": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 232 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 233 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12801": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 234 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 235 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12802": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 236 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 237 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12803": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 238 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 239 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12804": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 240 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 241 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12805": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 242 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 243 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12806": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 244 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 245 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12807": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 246 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 247 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12808": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 248 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 249 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12809": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 250 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 251 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12810": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 252 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 253 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12811": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 254 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 255 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12812": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 256 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 257 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12813": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 258 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 259 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12814": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 260 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 261 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12815": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 262 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 263 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12816": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 264 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 265 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12817": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 266 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 267 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12818": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 268 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 269 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12819": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 270 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 271 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12820": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 272 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 273 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12821": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 274 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 275 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12822": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 276 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 277 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12823": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 278 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 279 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12824": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 280 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 281 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12825": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 282 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 283 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12826": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 284 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 285 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12827": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 286 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 287 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12828": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 288 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 289 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12829": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 290 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 291 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12830": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 292 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 293 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12831": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 294 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 295 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12832": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 296 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 297 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12833": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 298 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 299 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12834": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 300 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 301 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12835": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 302 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 303 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12836": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 304 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 305 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12837": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 306 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 307 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12838": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 308 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 309 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12839": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 310 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 311 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12840": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 312 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 313 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12841": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 314 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 315 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12842": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 316 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 317 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12843": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 318 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 319 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12844": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 320 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 321 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12845": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 322 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 323 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12846": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 324 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 325 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12847": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 326 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 327 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12848": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 328 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 329 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12849": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 330 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 331 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12850": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 332 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 333 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12851": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 334 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 335 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12852": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 336 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 337 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12853": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 338 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 339 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12854": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 340 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 341 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12855": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 342 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 343 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12856": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 344 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 345 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12857": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 346 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 347 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12858": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 348 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 349 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12859": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 350 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 351 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12860": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 352 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 353 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12861": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 354 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 355 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12862": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 356 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 357 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12863": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 358 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 359 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12864": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 360 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 361 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12865": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 362 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 363 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12866": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 364 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 365 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12867": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 366 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 367 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12868": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 368 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 369 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12869": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 370 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 371 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12870": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 372 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 373 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12871": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 374 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 375 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12872": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 376 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 377 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12873": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 378 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 379 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12874": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 380 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 381 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12875": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 382 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 383 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12876": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 384 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 385 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12877": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 386 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 387 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12878": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 388 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 389 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12879": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 390 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 391 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12880": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 392 ], "I1": [ 393 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 394 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12881": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 244 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 395 ], "I1": [ 396 ], "I2": [ 397 ], "I3": [ "0" ], "O": [ 398 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12882": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 399 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 400 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12883": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 401 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 402 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12884": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 403 ], "I1": [ 404 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 405 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12885": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 14 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 406 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 407 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12886": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 8 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 12 ], "I1": [ 408 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 409 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12887": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 4 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 412 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12888": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 413 ], "I3": [ "0" ], "O": [ 414 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12889": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 415 ], "I3": [ "0" ], "O": [ 416 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12890": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 417 ], "I3": [ "0" ], "O": [ 418 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12891": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 419 ], "I3": [ "0" ], "O": [ 420 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12892": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 421 ], "I3": [ "0" ], "O": [ 422 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12893": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 423 ], "I3": [ "0" ], "O": [ 424 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12894": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 425 ], "I3": [ "0" ], "O": [ 426 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12895": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 427 ], "I3": [ "0" ], "O": [ 428 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12896": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 429 ], "I3": [ "0" ], "O": [ 430 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12897": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 431 ], "I3": [ "0" ], "O": [ 432 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12898": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 176 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 411 ], "I2": [ 433 ], "I3": [ "0" ], "O": [ 434 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12904": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 435 ], "I1": [ 436 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 437 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12905": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 438 ], "I1": [ 439 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 440 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12906": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 441 ], "I1": [ 442 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 443 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12907": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 444 ], "I1": [ 445 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 446 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12908": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 6 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 447 ], "I1": [ 448 ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 449 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12909": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 450 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 451 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12910": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 452 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 453 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12912": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 454 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 455 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12913": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 456 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 457 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12914": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 458 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 459 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12916": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 460 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 461 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12920": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 462 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 463 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12921": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 464 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 465 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12922": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 466 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 467 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12923": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 468 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 469 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12935": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 470 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 471 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12951": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 472 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 473 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12956": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 474 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 475 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12957": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 476 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 477 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12959": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 478 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 479 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12962": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 480 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 481 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12963": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 482 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 483 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12965": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 484 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 485 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12966": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 486 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 487 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12967": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 488 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 489 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12969": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 490 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 491 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12970": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 492 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 493 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12971": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 494 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 495 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12972": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 496 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 497 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12973": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 498 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 499 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12974": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 500 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 501 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12975": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 502 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 503 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12976": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 504 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 505 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12977": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 506 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 507 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12978": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 508 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 509 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12979": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 510 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 511 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12980": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 512 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 513 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12981": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 514 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 515 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12982": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 516 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 517 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12983": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 518 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 519 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12984": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 520 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 521 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12985": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 522 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 523 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12986": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 524 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 525 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12987": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 526 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 527 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12988": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 528 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 529 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12989": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 530 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 531 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12990": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 532 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 533 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12991": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 534 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 535 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12992": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 536 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 537 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12993": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 538 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 539 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12994": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 540 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 541 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12995": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 542 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 543 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12996": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 544 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 545 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12997": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 546 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 547 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12998": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 548 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 549 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$12999": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 550 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 551 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13000": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 552 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 553 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13001": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 554 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 555 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13002": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 556 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 557 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13003": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 558 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 559 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13004": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 560 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 561 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13005": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 562 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 563 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13006": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 564 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 565 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13007": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 566 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 567 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13008": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 568 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 569 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13009": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 570 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 571 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13010": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 572 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 573 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13011": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 574 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 575 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13012": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 576 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 577 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13013": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 578 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 579 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13014": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 580 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 581 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13015": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 582 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 583 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13016": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 584 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 585 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13017": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 586 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 587 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13018": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 588 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 589 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13019": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 590 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 591 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13020": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 592 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 593 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13021": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 594 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 595 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13022": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 596 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 597 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13023": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 598 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 599 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13024": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 600 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 601 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13025": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 602 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 603 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13026": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 604 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 605 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13027": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 606 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 607 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13028": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 608 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 609 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13029": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 610 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 611 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13030": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 612 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 613 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13031": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 614 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 615 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13032": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 616 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 617 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13033": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 618 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 619 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13034": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 620 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 621 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13149": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 622 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 623 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13150": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 624 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 625 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13158": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 626 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 627 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13159": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 628 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 629 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13160": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 630 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 631 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13161": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 632 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 633 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13162": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 634 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 635 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13163": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 636 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 637 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13164": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 638 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 639 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13169": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 640 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 641 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13170": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 642 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 643 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13171": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 644 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 645 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13172": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 646 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 647 ] } }, "$abc$12689$auto$blifparse.cc:492:parse_blif$13199": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 648 ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ "0" ], "O": [ 649 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4974": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 13 ], "Q": [ 406 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4975": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 15 ], "Q": [ 11 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4976": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 17 ], "Q": [ 14 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4977": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 19 ], "Q": [ 16 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4978": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 21 ], "Q": [ 18 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4979": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 23 ], "Q": [ 20 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4980": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 25 ], "Q": [ 22 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4981": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 27 ], "Q": [ 24 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4982": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 29 ], "Q": [ 26 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4983": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 31 ], "Q": [ 28 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4984": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 33 ], "Q": [ 30 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4985": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 35 ], "Q": [ 32 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4986": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 37 ], "Q": [ 34 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4987": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 39 ], "Q": [ 36 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4988": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 41 ], "Q": [ 38 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4989": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 43 ], "Q": [ 40 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4990": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 45 ], "Q": [ 42 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4991": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 47 ], "Q": [ 44 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4992": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 49 ], "Q": [ 46 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4993": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 51 ], "Q": [ 48 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4994": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 53 ], "Q": [ 50 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4995": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 55 ], "Q": [ 52 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4996": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 57 ], "Q": [ 54 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4997": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 59 ], "Q": [ 56 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4998": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 61 ], "Q": [ 58 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$4999": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 63 ], "Q": [ 60 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5000": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 65 ], "Q": [ 62 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5001": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 67 ], "Q": [ 64 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5002": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 69 ], "Q": [ 66 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5003": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 71 ], "Q": [ 68 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5004": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 73 ], "Q": [ 70 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5005": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 75 ], "Q": [ 72 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5006": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 77 ], "Q": [ 74 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5007": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 79 ], "Q": [ 76 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5008": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 81 ], "Q": [ 78 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5009": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 83 ], "Q": [ 80 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5010": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 85 ], "Q": [ 82 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5011": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 87 ], "Q": [ 84 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5012": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 89 ], "Q": [ 86 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5013": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 91 ], "Q": [ 88 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5014": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 93 ], "Q": [ 90 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5015": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 95 ], "Q": [ 92 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5016": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 97 ], "Q": [ 94 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5017": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 99 ], "Q": [ 96 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5018": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 101 ], "Q": [ 98 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5019": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 103 ], "Q": [ 100 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5020": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 105 ], "Q": [ 102 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5021": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 107 ], "Q": [ 104 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5022": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 109 ], "Q": [ 106 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5023": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 111 ], "Q": [ 108 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5024": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 113 ], "Q": [ 110 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5025": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 115 ], "Q": [ 112 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5026": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 117 ], "Q": [ 114 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5027": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 119 ], "Q": [ 116 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5028": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 121 ], "Q": [ 118 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5029": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 123 ], "Q": [ 120 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5030": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 125 ], "Q": [ 122 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5031": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 127 ], "Q": [ 124 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5032": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 129 ], "Q": [ 126 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5033": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 131 ], "Q": [ 128 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5034": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 133 ], "Q": [ 130 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5035": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 135 ], "Q": [ 132 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5036": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 137 ], "Q": [ 134 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5037": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 139 ], "Q": [ 136 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5038": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 141 ], "Q": [ 138 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5039": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 143 ], "Q": [ 140 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5040": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 145 ], "Q": [ 142 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5041": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 147 ], "Q": [ 144 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5042": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 149 ], "Q": [ 146 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5043": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 151 ], "Q": [ 148 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5044": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 153 ], "Q": [ 150 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5045": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 155 ], "Q": [ 152 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5046": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 157 ], "Q": [ 154 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5047": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 159 ], "Q": [ 156 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5048": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 161 ], "Q": [ 158 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5049": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 163 ], "Q": [ 160 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5050": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 165 ], "Q": [ 162 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5051": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 167 ], "Q": [ 164 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5052": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 169 ], "Q": [ 166 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5053": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 171 ], "Q": [ 168 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5054": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 173 ], "Q": [ 170 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5055": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 175 ], "Q": [ 172 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5056": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 177 ], "Q": [ 174 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5057": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 179 ], "Q": [ 176 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5058": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 181 ], "Q": [ 178 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5059": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 183 ], "Q": [ 180 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5060": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 185 ], "Q": [ 182 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5061": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 187 ], "Q": [ 184 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5062": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 189 ], "Q": [ 186 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5063": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 191 ], "Q": [ 188 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5064": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 193 ], "Q": [ 190 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5065": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 195 ], "Q": [ 192 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5066": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 197 ], "Q": [ 194 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5067": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 199 ], "Q": [ 196 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5068": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 201 ], "Q": [ 198 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5069": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 203 ], "Q": [ 200 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5070": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 205 ], "Q": [ 202 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5071": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 207 ], "Q": [ 204 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5072": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 209 ], "Q": [ 206 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5073": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 211 ], "Q": [ 208 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5074": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 213 ], "Q": [ 210 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5075": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 215 ], "Q": [ 212 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5076": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 217 ], "Q": [ 214 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5077": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 219 ], "Q": [ 216 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5078": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 221 ], "Q": [ 218 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5079": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 223 ], "Q": [ 220 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5080": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 225 ], "Q": [ 222 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5081": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 227 ], "Q": [ 224 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5082": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 229 ], "Q": [ 226 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5083": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 231 ], "Q": [ 228 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5084": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 233 ], "Q": [ 230 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5085": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 235 ], "Q": [ 232 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5086": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 237 ], "Q": [ 234 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5087": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 239 ], "Q": [ 236 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5088": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 241 ], "Q": [ 238 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5089": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 243 ], "Q": [ 240 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5090": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 245 ], "Q": [ 242 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5091": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 247 ], "Q": [ 244 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5092": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 249 ], "Q": [ 246 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5093": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 251 ], "Q": [ 248 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5094": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 253 ], "Q": [ 250 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5095": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 255 ], "Q": [ 252 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5096": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 257 ], "Q": [ 254 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5097": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 259 ], "Q": [ 256 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5098": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 261 ], "Q": [ 258 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5099": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 263 ], "Q": [ 260 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5100": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 265 ], "Q": [ 262 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5101": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 267 ], "Q": [ 264 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5102": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 269 ], "Q": [ 266 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5103": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 271 ], "Q": [ 268 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5104": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 273 ], "Q": [ 270 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5105": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 275 ], "Q": [ 272 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5106": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 277 ], "Q": [ 274 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5107": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 279 ], "Q": [ 276 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5108": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 281 ], "Q": [ 278 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5109": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 283 ], "Q": [ 280 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5110": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 285 ], "Q": [ 282 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5111": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 287 ], "Q": [ 284 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5112": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 289 ], "Q": [ 286 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5113": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 291 ], "Q": [ 288 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5114": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 293 ], "Q": [ 290 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5115": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 295 ], "Q": [ 292 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5116": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 297 ], "Q": [ 294 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5117": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 299 ], "Q": [ 296 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5118": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 301 ], "Q": [ 298 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5119": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 303 ], "Q": [ 300 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5120": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 305 ], "Q": [ 302 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5121": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 307 ], "Q": [ 304 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5122": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 309 ], "Q": [ 306 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5123": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 311 ], "Q": [ 308 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5124": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 313 ], "Q": [ 310 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5125": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 315 ], "Q": [ 312 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5126": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 317 ], "Q": [ 314 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5127": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 319 ], "Q": [ 316 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5128": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 321 ], "Q": [ 318 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5129": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 323 ], "Q": [ 320 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5130": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 325 ], "Q": [ 322 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5131": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 327 ], "Q": [ 324 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5132": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 329 ], "Q": [ 326 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5133": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 331 ], "Q": [ 328 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5134": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 333 ], "Q": [ 330 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5135": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 335 ], "Q": [ 332 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5136": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 337 ], "Q": [ 334 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5137": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 339 ], "Q": [ 336 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5138": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 341 ], "Q": [ 338 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5139": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 343 ], "Q": [ 340 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5140": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 345 ], "Q": [ 342 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5141": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 347 ], "Q": [ 344 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5142": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 349 ], "Q": [ 346 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5143": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 351 ], "Q": [ 348 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5144": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 353 ], "Q": [ 350 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5145": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 355 ], "Q": [ 352 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5146": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 357 ], "Q": [ 354 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5147": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 359 ], "Q": [ 356 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5148": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 361 ], "Q": [ 358 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5149": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 363 ], "Q": [ 360 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5150": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 365 ], "Q": [ 362 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5151": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 367 ], "Q": [ 364 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5152": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 369 ], "Q": [ 366 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5153": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 371 ], "Q": [ 368 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5154": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 373 ], "Q": [ 370 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5155": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 375 ], "Q": [ 372 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5156": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 377 ], "Q": [ 374 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5157": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 379 ], "Q": [ 376 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5158": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 381 ], "Q": [ 378 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5159": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 383 ], "Q": [ 380 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5160": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 385 ], "Q": [ 382 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5161": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 387 ], "Q": [ 384 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5162": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 389 ], "Q": [ 386 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5163": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 391 ], "Q": [ 388 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5164": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 12 ], "Q": [ 390 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5165": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 651 ], "Q": [ 408 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5166": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 652 ], "E": [ 394 ], "Q": [ 653 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5167": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 654 ], "E": [ 394 ], "Q": [ 655 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5168": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 656 ], "E": [ 394 ], "Q": [ 657 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5169": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 658 ], "E": [ 394 ], "Q": [ 659 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5170": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 660 ], "E": [ 394 ], "Q": [ 661 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5171": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 662 ], "E": [ 394 ], "Q": [ 663 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5172": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 664 ], "E": [ 394 ], "Q": [ 665 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5173": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 666 ], "E": [ 394 ], "Q": [ 667 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5174": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 668 ], "E": [ 394 ], "Q": [ 669 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5175": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 670 ], "E": [ 394 ], "Q": [ 671 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5176": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 672 ], "E": [ 394 ], "Q": [ 673 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5177": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 674 ], "E": [ 394 ], "Q": [ 675 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5178": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 676 ], "E": [ 394 ], "Q": [ 677 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5179": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 678 ], "E": [ 394 ], "Q": [ 679 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5180": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 680 ], "E": [ 394 ], "Q": [ 681 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5181": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 682 ], "Q": [ 683 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5182": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 683 ], "Q": [ 393 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5183": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 684 ], "Q": [ 682 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5184": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 685 ], "Q": [ 684 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5185": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 686 ], "Q": [ 685 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5186": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 687 ], "Q": [ 686 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5187": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 688 ], "Q": [ 687 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5188": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 689 ], "Q": [ 688 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5189": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 690 ], "Q": [ 689 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5190": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 691 ], "Q": [ 690 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5191": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 692 ], "Q": [ 691 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5192": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 693 ], "Q": [ 692 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5193": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 694 ], "Q": [ 693 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5194": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 695 ], "Q": [ 694 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5195": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 696 ], "Q": [ 695 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5196": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 697 ], "Q": [ 696 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5197": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 698 ], "Q": [ 697 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5198": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 699 ], "Q": [ 698 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5199": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 700 ], "Q": [ 699 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5200": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 701 ], "Q": [ 700 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5201": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 702 ], "Q": [ 701 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5202": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 703 ], "Q": [ 702 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5203": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 704 ], "Q": [ 703 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5204": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 705 ], "Q": [ 704 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5205": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 706 ], "Q": [ 705 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5206": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 395 ], "Q": [ 706 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5207": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 707 ], "Q": [ 395 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5208": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 708 ], "Q": [ 707 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5209": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 709 ], "Q": [ 708 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5210": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 396 ], "Q": [ 709 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5211": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 398 ], "Q": [ 396 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5212": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 656 ], "Q": [ 654 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5213": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 654 ], "Q": [ 652 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5214": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 658 ], "Q": [ 656 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5215": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 660 ], "Q": [ 658 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5216": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 662 ], "Q": [ 660 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5217": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 664 ], "Q": [ 662 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5218": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 666 ], "Q": [ 664 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5219": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 668 ], "Q": [ 666 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5220": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 670 ], "Q": [ 668 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5221": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 672 ], "Q": [ 670 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5222": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 674 ], "Q": [ 672 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5223": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 676 ], "Q": [ 674 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5224": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 678 ], "Q": [ 676 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5225": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 680 ], "Q": [ 678 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5226": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 710 ], "Q": [ 680 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5227": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 711 ], "Q": [ 710 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5228": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 712 ], "Q": [ 711 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5229": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 713 ], "Q": [ 712 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5230": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 714 ], "Q": [ 713 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5231": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 715 ], "Q": [ 714 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5232": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 716 ], "Q": [ 715 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5233": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 717 ], "Q": [ 716 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5234": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 718 ], "Q": [ 717 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5235": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 719 ], "Q": [ 718 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5236": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 720 ], "Q": [ 719 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5237": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 721 ], "Q": [ 720 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5238": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 722 ], "Q": [ 721 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5239": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 723 ], "Q": [ 722 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5240": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 724 ], "Q": [ 723 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5241": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 725 ], "Q": [ 724 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5242": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 726 ], "Q": [ 725 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5243": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 400 ], "Q": [ 450 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5244": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 450 ], "Q": [ 7 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5245": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 451 ], "Q": [ 8 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5246": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 402 ], "Q": [ 452 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5247": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 452 ], "Q": [ 9 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5248": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 453 ], "Q": [ 10 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5249": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 727 ], "E": [ 394 ], "Q": [ 728 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5250": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 393 ], "Q": [ 392 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5251": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 392 ], "Q": [ 411 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5252": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 652 ], "Q": [ 727 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5253": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 403 ], "Q": [ 404 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5254": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 405 ], "Q": [ 397 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5255": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ "0" ], "Q": [ 12 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5256": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 407 ], "Q": [ 399 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5257": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 729 ], "Q": [ 401 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5259": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 730 ], "Q": [ 731 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5260": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 732 ], "Q": [ 730 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5261": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 733 ], "Q": [ 732 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5262": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 734 ], "Q": [ 733 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5263": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 735 ], "Q": [ 734 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5264": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 736 ], "Q": [ 735 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5265": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 737 ], "Q": [ 736 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5266": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 738 ], "Q": [ 737 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5267": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 739 ], "Q": [ 738 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5268": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 740 ], "Q": [ 739 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5269": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 741 ], "Q": [ 740 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5270": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 742 ], "Q": [ 741 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5271": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 743 ], "Q": [ 742 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5272": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 744 ], "Q": [ 743 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5273": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 745 ], "Q": [ 744 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5274": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 746 ], "Q": [ 745 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5275": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 747 ], "Q": [ 746 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5276": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 748 ], "Q": [ 747 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5277": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 749 ], "Q": [ 748 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5278": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 750 ], "Q": [ 749 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5279": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 751 ], "Q": [ 750 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5280": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 752 ], "Q": [ 751 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5281": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 753 ], "Q": [ 752 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5282": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 754 ], "Q": [ 753 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5283": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 755 ], "Q": [ 754 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5284": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 756 ], "Q": [ 755 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5285": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 757 ], "Q": [ 756 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5286": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 758 ], "Q": [ 757 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5287": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 759 ], "Q": [ 758 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5288": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 760 ], "Q": [ 759 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5289": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 761 ], "Q": [ 760 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5290": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 762 ], "Q": [ 761 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5291": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 763 ], "Q": [ 762 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5292": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 764 ], "Q": [ 763 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5293": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 765 ], "Q": [ 764 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5294": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 766 ], "Q": [ 765 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5295": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 767 ], "Q": [ 766 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5296": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 768 ], "Q": [ 767 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5297": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 769 ], "Q": [ 768 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5298": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 770 ], "Q": [ 769 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5299": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 771 ], "Q": [ 770 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5300": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 772 ], "Q": [ 771 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5301": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 773 ], "Q": [ 772 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5302": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 774 ], "Q": [ 773 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5303": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 775 ], "Q": [ 774 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5304": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 776 ], "Q": [ 775 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5305": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 777 ], "Q": [ 776 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5306": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 778 ], "Q": [ 777 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5307": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 779 ], "Q": [ 778 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5308": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 780 ], "Q": [ 779 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5309": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 781 ], "Q": [ 780 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5310": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 782 ], "Q": [ 781 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5311": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 783 ], "Q": [ 782 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5312": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 784 ], "Q": [ 783 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5313": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 785 ], "Q": [ 784 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5314": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 786 ], "Q": [ 785 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5315": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 787 ], "Q": [ 786 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5316": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 788 ], "Q": [ 787 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5317": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 789 ], "Q": [ 788 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5318": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 790 ], "Q": [ 789 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5319": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 791 ], "Q": [ 790 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5320": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 792 ], "Q": [ 791 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5321": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 793 ], "Q": [ 792 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5322": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 794 ], "Q": [ 793 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5323": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 795 ], "Q": [ 794 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5324": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 796 ], "Q": [ 795 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5325": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 797 ], "Q": [ 796 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5326": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 798 ], "Q": [ 797 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5327": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 799 ], "Q": [ 798 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5328": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 800 ], "Q": [ 799 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5329": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 801 ], "Q": [ 800 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5330": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 802 ], "Q": [ 801 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5331": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 803 ], "Q": [ 802 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5332": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 804 ], "Q": [ 803 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5333": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 805 ], "Q": [ 804 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5334": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 806 ], "Q": [ 805 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5335": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 807 ], "Q": [ 806 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5336": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 808 ], "Q": [ 807 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5337": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 809 ], "Q": [ 808 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5338": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 810 ], "Q": [ 809 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5339": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 811 ], "Q": [ 810 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5340": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 812 ], "Q": [ 811 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5341": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 813 ], "Q": [ 812 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5342": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 814 ], "Q": [ 813 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5343": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 815 ], "Q": [ 814 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5344": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 816 ], "Q": [ 815 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5345": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 817 ], "Q": [ 816 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5346": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 818 ], "Q": [ 817 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5347": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 819 ], "Q": [ 818 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5348": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 820 ], "Q": [ 819 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5349": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 821 ], "Q": [ 820 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5350": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 822 ], "Q": [ 821 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5351": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 823 ], "Q": [ 822 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5352": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 824 ], "Q": [ 823 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5353": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 825 ], "Q": [ 824 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5354": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 826 ], "Q": [ 825 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5355": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 827 ], "Q": [ 826 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5356": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 828 ], "Q": [ 827 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5357": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 829 ], "Q": [ 828 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5358": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 830 ], "Q": [ 829 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5359": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 831 ], "Q": [ 830 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5360": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 832 ], "Q": [ 831 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5361": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 833 ], "Q": [ 832 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5362": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 834 ], "Q": [ 833 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5363": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 835 ], "Q": [ 834 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5364": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 836 ], "Q": [ 835 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5365": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 837 ], "Q": [ 836 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5366": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 838 ], "Q": [ 837 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5367": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 839 ], "Q": [ 838 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5368": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 840 ], "Q": [ 839 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5369": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 841 ], "Q": [ 840 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5370": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 842 ], "Q": [ 841 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5371": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 843 ], "Q": [ 842 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5372": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 844 ], "Q": [ 843 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5373": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 845 ], "Q": [ 844 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5374": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 846 ], "Q": [ 845 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5375": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 847 ], "Q": [ 846 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5376": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 848 ], "Q": [ 847 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5377": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 849 ], "Q": [ 848 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5378": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 850 ], "Q": [ 849 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5379": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 851 ], "Q": [ 850 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5380": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 852 ], "Q": [ 851 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5381": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 853 ], "Q": [ 852 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5382": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 854 ], "Q": [ 853 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5383": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 855 ], "Q": [ 854 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5384": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 856 ], "Q": [ 855 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5385": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 857 ], "Q": [ 856 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5386": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 858 ], "Q": [ 857 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5387": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 859 ], "Q": [ 858 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5388": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 860 ], "Q": [ 859 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5389": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 861 ], "Q": [ 860 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5390": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 862 ], "Q": [ 861 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5391": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 863 ], "Q": [ 862 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5392": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 864 ], "Q": [ 863 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5393": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 865 ], "Q": [ 864 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5394": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 866 ], "Q": [ 865 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5395": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 867 ], "Q": [ 866 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5396": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 868 ], "Q": [ 867 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5397": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 869 ], "Q": [ 868 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5398": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 870 ], "Q": [ 869 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5399": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 871 ], "Q": [ 870 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5400": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 872 ], "Q": [ 871 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5401": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 873 ], "Q": [ 872 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5402": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 874 ], "Q": [ 873 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5403": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 875 ], "Q": [ 874 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5404": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 876 ], "Q": [ 875 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5405": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 877 ], "Q": [ 876 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5406": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 878 ], "Q": [ 877 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5407": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 879 ], "Q": [ 878 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5408": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 880 ], "Q": [ 879 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5409": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 881 ], "Q": [ 880 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5410": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 882 ], "Q": [ 881 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5411": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 883 ], "Q": [ 882 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5412": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 884 ], "Q": [ 883 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5413": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 885 ], "Q": [ 884 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5414": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 886 ], "Q": [ 885 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5415": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 887 ], "Q": [ 886 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5416": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 888 ], "Q": [ 887 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5417": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 889 ], "Q": [ 888 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5418": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 890 ], "Q": [ 889 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5419": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 891 ], "Q": [ 890 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5420": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 892 ], "Q": [ 891 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5421": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 893 ], "Q": [ 892 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5422": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 894 ], "Q": [ 893 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5423": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 895 ], "Q": [ 894 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5424": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 896 ], "Q": [ 895 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5425": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 897 ], "Q": [ 896 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5426": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 898 ], "Q": [ 897 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5427": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 899 ], "Q": [ 898 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5428": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 900 ], "Q": [ 899 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5429": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 901 ], "Q": [ 900 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5430": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 902 ], "Q": [ 901 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5431": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 903 ], "Q": [ 902 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5432": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 904 ], "Q": [ 903 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5433": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 905 ], "Q": [ 904 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5434": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 906 ], "Q": [ 905 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5435": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 907 ], "Q": [ 906 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5436": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 908 ], "Q": [ 907 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5437": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 909 ], "Q": [ 908 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5438": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 910 ], "Q": [ 909 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5439": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 911 ], "Q": [ 910 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5440": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 912 ], "Q": [ 911 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5441": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 913 ], "Q": [ 912 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5442": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 914 ], "Q": [ 913 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5443": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 915 ], "Q": [ 914 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5444": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 916 ], "Q": [ 915 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5445": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 917 ], "Q": [ 916 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5446": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 918 ], "Q": [ 917 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5447": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 919 ], "Q": [ 918 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5448": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 409 ], "Q": [ 919 ] } }, "$abc$4973$auto$blifparse.cc:352:parse_blif$5449": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 650 ], "D": [ 731 ], "Q": [ 729 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5954": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 921 ], "Q": [ 922 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5955": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 923 ], "Q": [ 924 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5956": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 925 ], "Q": [ 926 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5957": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 927 ], "Q": [ 928 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5958": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 929 ], "Q": [ 930 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5959": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 931 ], "Q": [ 932 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5960": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 437 ], "Q": [ 933 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5961": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 934 ], "Q": [ 935 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5962": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 921 ], "E": [ 431 ], "Q": [ 936 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5963": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 923 ], "E": [ 431 ], "Q": [ 937 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5964": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 925 ], "E": [ 431 ], "Q": [ 938 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5965": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 927 ], "E": [ 431 ], "Q": [ 939 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5966": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 929 ], "E": [ 431 ], "Q": [ 940 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5967": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 931 ], "E": [ 431 ], "Q": [ 941 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5968": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 437 ], "E": [ 431 ], "Q": [ 435 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5969": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 934 ], "E": [ 431 ], "Q": [ 436 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5970": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 942 ], "Q": [ 943 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5971": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 944 ], "Q": [ 945 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5972": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 946 ], "Q": [ 947 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5973": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 948 ], "Q": [ 949 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5974": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 950 ], "Q": [ 951 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5975": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 952 ], "Q": [ 953 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5976": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 443 ], "Q": [ 954 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5977": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 955 ], "Q": [ 956 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5978": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 942 ], "E": [ 425 ], "Q": [ 957 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5979": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 944 ], "E": [ 425 ], "Q": [ 958 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5980": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 946 ], "E": [ 425 ], "Q": [ 959 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5981": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 948 ], "E": [ 425 ], "Q": [ 960 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5982": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 950 ], "E": [ 425 ], "Q": [ 961 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5983": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 952 ], "E": [ 425 ], "Q": [ 962 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5984": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 443 ], "E": [ 425 ], "Q": [ 441 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5985": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 955 ], "E": [ 425 ], "Q": [ 442 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5986": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 963 ], "Q": [ 964 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5987": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 965 ], "Q": [ 966 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5988": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 967 ], "Q": [ 968 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5989": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 969 ], "Q": [ 970 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5990": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 971 ], "Q": [ 972 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5991": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 973 ], "Q": [ 974 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5992": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 446 ], "Q": [ 975 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5993": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 976 ], "Q": [ 977 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5994": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 963 ], "E": [ 419 ], "Q": [ 978 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5995": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 965 ], "E": [ 419 ], "Q": [ 979 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5996": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 967 ], "E": [ 419 ], "Q": [ 980 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5997": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 969 ], "E": [ 419 ], "Q": [ 981 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5998": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 971 ], "E": [ 419 ], "Q": [ 982 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$5999": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 973 ], "E": [ 419 ], "Q": [ 983 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6000": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 446 ], "E": [ 419 ], "Q": [ 444 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6001": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 976 ], "E": [ 419 ], "Q": [ 445 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6002": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 984 ], "Q": [ 985 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6003": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 986 ], "Q": [ 987 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6004": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 988 ], "Q": [ 989 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6005": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 990 ], "Q": [ 991 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6006": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 992 ], "Q": [ 993 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6007": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 994 ], "Q": [ 995 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6008": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 440 ], "Q": [ 996 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6009": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 997 ], "Q": [ 998 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6010": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 984 ], "E": [ 413 ], "Q": [ 999 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6011": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 986 ], "E": [ 413 ], "Q": [ 1000 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6012": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 988 ], "E": [ 413 ], "Q": [ 1001 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6013": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 990 ], "E": [ 413 ], "Q": [ 1002 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6014": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 992 ], "E": [ 413 ], "Q": [ 1003 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6015": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 994 ], "E": [ 413 ], "Q": [ 1004 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6016": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 440 ], "E": [ 413 ], "Q": [ 438 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6017": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 997 ], "E": [ 413 ], "Q": [ 439 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6085": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ "1" ], "Q": [ 1005 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6086": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1006 ], "Q": [ 1007 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6087": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1008 ], "Q": [ 1009 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6088": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 449 ], "Q": [ 1010 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6089": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1011 ], "Q": [ 1012 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6090": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1006 ], "E": [ 648 ], "Q": [ 1013 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6091": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1008 ], "E": [ 648 ], "Q": [ 1014 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6092": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 449 ], "E": [ 648 ], "Q": [ 447 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6093": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1011 ], "E": [ 648 ], "Q": [ 448 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6121": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1015 ], "E": [ 431 ], "Q": [ 1016 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6122": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1017 ], "E": [ 431 ], "Q": [ 1018 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6123": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1019 ], "E": [ 431 ], "Q": [ 1020 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6124": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1021 ], "E": [ 431 ], "Q": [ 1022 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6125": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1023 ], "E": [ 431 ], "Q": [ 1024 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6126": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1025 ], "E": [ 431 ], "Q": [ 1026 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6127": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1027 ], "E": [ 431 ], "Q": [ 1028 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6128": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1029 ], "E": [ 431 ], "Q": [ 1030 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6129": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1031 ], "E": [ 431 ], "Q": [ 1032 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6130": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1033 ], "E": [ 431 ], "Q": [ 1034 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6131": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1035 ], "E": [ 431 ], "Q": [ 1036 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6132": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1037 ], "E": [ 431 ], "Q": [ 1038 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6133": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1039 ], "E": [ 431 ], "Q": [ 1040 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6134": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1041 ], "E": [ 431 ], "Q": [ 1042 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6135": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1043 ], "E": [ 431 ], "Q": [ 1044 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6136": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1045 ], "E": [ 431 ], "Q": [ 1046 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6137": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1047 ], "E": [ 431 ], "Q": [ 1048 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6138": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1049 ], "E": [ 431 ], "Q": [ 1050 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6139": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1051 ], "E": [ 431 ], "Q": [ 1052 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6140": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1053 ], "E": [ 431 ], "Q": [ 1054 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6141": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1055 ], "E": [ 431 ], "Q": [ 1056 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6142": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1057 ], "E": [ 431 ], "Q": [ 1058 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6143": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1059 ], "E": [ 431 ], "Q": [ 1060 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6144": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1061 ], "E": [ 431 ], "Q": [ 1062 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6160": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1063 ], "Q": [ 1064 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6161": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1065 ], "Q": [ 1066 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6162": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1067 ], "Q": [ 1068 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6163": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1069 ], "Q": [ 1070 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6164": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1071 ], "Q": [ 1072 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6165": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1073 ], "Q": [ 1074 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6166": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1075 ], "Q": [ 1076 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6167": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1077 ], "Q": [ 1078 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6168": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1079 ], "Q": [ 1080 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6169": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1081 ], "Q": [ 1082 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6170": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1083 ], "Q": [ 1084 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6171": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1085 ], "Q": [ 1086 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6172": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1087 ], "Q": [ 1088 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6173": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1089 ], "Q": [ 1090 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6174": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1091 ], "Q": [ 1092 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6175": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1093 ], "Q": [ 1094 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6176": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1095 ], "Q": [ 1096 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6177": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1097 ], "Q": [ 1098 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6178": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1099 ], "Q": [ 1100 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6179": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1101 ], "Q": [ 1102 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6180": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1103 ], "Q": [ 1104 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6181": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1105 ], "Q": [ 1106 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6182": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1107 ], "Q": [ 1108 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6183": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1109 ], "Q": [ 1110 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6184": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1111 ], "E": [ 425 ], "Q": [ 1112 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6185": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1113 ], "E": [ 425 ], "Q": [ 1114 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6186": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1115 ], "E": [ 425 ], "Q": [ 1116 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6187": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1117 ], "E": [ 425 ], "Q": [ 1118 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6188": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1119 ], "E": [ 425 ], "Q": [ 1120 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6189": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1121 ], "E": [ 425 ], "Q": [ 1122 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6190": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1123 ], "E": [ 425 ], "Q": [ 1124 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6191": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1125 ], "E": [ 425 ], "Q": [ 1126 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6192": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1127 ], "E": [ 425 ], "Q": [ 1128 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6193": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1129 ], "E": [ 425 ], "Q": [ 1130 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6194": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1131 ], "E": [ 425 ], "Q": [ 1132 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6195": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1133 ], "E": [ 425 ], "Q": [ 1134 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6196": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1135 ], "E": [ 425 ], "Q": [ 1136 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6197": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1137 ], "E": [ 425 ], "Q": [ 1138 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6198": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1139 ], "E": [ 425 ], "Q": [ 1140 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6199": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1141 ], "E": [ 425 ], "Q": [ 1142 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6200": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1143 ], "E": [ 425 ], "Q": [ 1144 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6201": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1145 ], "E": [ 425 ], "Q": [ 1146 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6202": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1147 ], "E": [ 425 ], "Q": [ 1148 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6203": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1149 ], "E": [ 425 ], "Q": [ 1150 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6204": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1151 ], "E": [ 425 ], "Q": [ 1152 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6205": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1153 ], "E": [ 425 ], "Q": [ 1154 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6206": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1155 ], "E": [ 425 ], "Q": [ 1156 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6207": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1157 ], "E": [ 425 ], "Q": [ 1158 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6208": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1159 ], "E": [ 425 ], "Q": [ 1160 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6209": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1161 ], "E": [ 425 ], "Q": [ 1162 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6210": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1163 ], "E": [ 425 ], "Q": [ 1164 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6211": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1165 ], "E": [ 425 ], "Q": [ 1166 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6212": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1167 ], "E": [ 425 ], "Q": [ 1168 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6213": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1169 ], "E": [ 425 ], "Q": [ 1170 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6214": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1171 ], "E": [ 425 ], "Q": [ 1172 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6215": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1173 ], "Q": [ 1174 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6216": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1175 ], "Q": [ 1176 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6217": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1177 ], "Q": [ 1178 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6218": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1179 ], "Q": [ 1180 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6219": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1181 ], "Q": [ 1182 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6220": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1183 ], "Q": [ 1184 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6221": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1185 ], "Q": [ 1186 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6222": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1187 ], "Q": [ 1188 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6223": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1189 ], "Q": [ 1190 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6224": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1191 ], "Q": [ 1192 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6225": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1193 ], "Q": [ 1194 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6226": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1195 ], "Q": [ 1196 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6227": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1197 ], "Q": [ 1198 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6228": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1199 ], "Q": [ 1200 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6229": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1201 ], "Q": [ 1202 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6230": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1203 ], "Q": [ 1204 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6231": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1205 ], "Q": [ 1206 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6232": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1207 ], "Q": [ 1208 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6233": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1209 ], "Q": [ 1210 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6234": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1211 ], "Q": [ 1212 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6235": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1213 ], "Q": [ 1214 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6236": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1215 ], "Q": [ 1216 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6237": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1217 ], "Q": [ 1218 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6238": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1219 ], "Q": [ 1220 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6239": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1221 ], "Q": [ 1222 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6240": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1223 ], "Q": [ 1224 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6241": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1225 ], "Q": [ 1226 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6242": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1227 ], "Q": [ 1228 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6243": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1229 ], "Q": [ 1230 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6244": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1231 ], "Q": [ 1232 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6245": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1233 ], "Q": [ 1234 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6246": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1235 ], "E": [ 419 ], "Q": [ 1236 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6247": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1237 ], "E": [ 419 ], "Q": [ 1238 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6248": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1239 ], "E": [ 419 ], "Q": [ 1240 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6249": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1241 ], "E": [ 419 ], "Q": [ 1242 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6250": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1243 ], "E": [ 419 ], "Q": [ 1244 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6251": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1245 ], "E": [ 419 ], "Q": [ 1246 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6252": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1247 ], "E": [ 419 ], "Q": [ 1248 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6253": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1249 ], "E": [ 419 ], "Q": [ 1250 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6254": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1251 ], "E": [ 419 ], "Q": [ 1252 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6255": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1253 ], "E": [ 419 ], "Q": [ 1254 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6256": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1255 ], "E": [ 419 ], "Q": [ 1256 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6257": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1257 ], "E": [ 419 ], "Q": [ 1258 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6258": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1259 ], "E": [ 419 ], "Q": [ 1260 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6259": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1261 ], "E": [ 419 ], "Q": [ 1262 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6260": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1263 ], "E": [ 419 ], "Q": [ 1264 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6261": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1265 ], "E": [ 419 ], "Q": [ 1266 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6262": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1267 ], "E": [ 419 ], "Q": [ 1268 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6263": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1269 ], "E": [ 419 ], "Q": [ 1270 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6264": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1271 ], "E": [ 419 ], "Q": [ 1272 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6265": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1273 ], "E": [ 419 ], "Q": [ 1274 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6266": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1275 ], "E": [ 419 ], "Q": [ 1276 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6267": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1277 ], "E": [ 419 ], "Q": [ 1278 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6268": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1279 ], "E": [ 419 ], "Q": [ 1280 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6269": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1281 ], "Q": [ 1282 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6270": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1283 ], "Q": [ 1284 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6271": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1285 ], "Q": [ 1286 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6272": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1287 ], "Q": [ 1288 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6273": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1289 ], "Q": [ 1290 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6274": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1291 ], "Q": [ 1292 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6275": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1293 ], "Q": [ 1294 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6276": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1295 ], "Q": [ 1296 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6277": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1297 ], "Q": [ 1298 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6278": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1299 ], "Q": [ 1300 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6279": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1301 ], "Q": [ 1302 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6280": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1303 ], "Q": [ 1304 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6281": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1305 ], "Q": [ 1306 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6282": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1307 ], "Q": [ 1308 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6283": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1309 ], "Q": [ 1310 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6284": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1311 ], "Q": [ 1312 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6285": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1313 ], "Q": [ 1314 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6286": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1315 ], "Q": [ 1316 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6287": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1317 ], "Q": [ 1318 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6288": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1319 ], "Q": [ 1320 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6289": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1321 ], "Q": [ 1322 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6290": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1323 ], "Q": [ 1324 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6291": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1325 ], "Q": [ 1326 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6292": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1327 ], "E": [ 413 ], "Q": [ 1328 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6293": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1329 ], "E": [ 413 ], "Q": [ 1330 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6294": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1331 ], "E": [ 413 ], "Q": [ 1332 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6295": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1333 ], "E": [ 413 ], "Q": [ 1334 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6296": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1335 ], "E": [ 413 ], "Q": [ 1336 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6297": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1337 ], "E": [ 413 ], "Q": [ 1338 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6298": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1339 ], "E": [ 413 ], "Q": [ 1340 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6299": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1341 ], "E": [ 413 ], "Q": [ 1342 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6300": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1343 ], "E": [ 413 ], "Q": [ 1344 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6301": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1345 ], "E": [ 413 ], "Q": [ 1346 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6302": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1347 ], "E": [ 413 ], "Q": [ 1348 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6303": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1349 ], "E": [ 413 ], "Q": [ 1350 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6304": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1351 ], "E": [ 413 ], "Q": [ 1352 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6305": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1353 ], "E": [ 413 ], "Q": [ 1354 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6306": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1355 ], "E": [ 413 ], "Q": [ 1356 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6307": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1357 ], "E": [ 413 ], "Q": [ 1358 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6308": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1359 ], "E": [ 413 ], "Q": [ 1360 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6309": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1361 ], "E": [ 413 ], "Q": [ 1362 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6310": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1363 ], "E": [ 413 ], "Q": [ 1364 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6311": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1365 ], "E": [ 413 ], "Q": [ 1366 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6312": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1367 ], "E": [ 413 ], "Q": [ 1368 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6313": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1369 ], "E": [ 413 ], "Q": [ 1370 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6314": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1371 ], "E": [ 413 ], "Q": [ 1372 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6315": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1373 ], "Q": [ 1374 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6316": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1375 ], "Q": [ 1376 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6317": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1377 ], "Q": [ 1378 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6318": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1379 ], "Q": [ 1380 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6319": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1381 ], "Q": [ 1382 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6320": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1383 ], "Q": [ 1384 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6321": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1385 ], "Q": [ 1386 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6322": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1387 ], "Q": [ 1388 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6323": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1389 ], "Q": [ 1390 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6324": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1391 ], "Q": [ 1392 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6325": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1393 ], "Q": [ 1394 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6326": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1395 ], "Q": [ 1396 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6327": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1397 ], "Q": [ 1398 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6328": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1399 ], "Q": [ 1400 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6329": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1401 ], "Q": [ 1402 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6330": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1403 ], "Q": [ 1404 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6331": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1405 ], "Q": [ 1406 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6332": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1407 ], "Q": [ 1408 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6333": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1409 ], "Q": [ 1410 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6334": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1411 ], "Q": [ 1412 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6335": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1413 ], "Q": [ 1414 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6336": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1415 ], "Q": [ 1416 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6337": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1417 ], "Q": [ 1418 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6600": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1419 ], "Q": [ 651 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6616": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1420 ], "Q": [ 1421 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6617": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1422 ], "Q": [ 1423 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6618": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1424 ], "Q": [ 1425 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6619": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1426 ], "Q": [ 1427 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6620": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1428 ], "Q": [ 1429 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6621": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1430 ], "Q": [ 1431 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6622": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1432 ], "Q": [ 1433 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6623": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1434 ], "Q": [ 1435 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6624": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1436 ], "Q": [ 1437 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6625": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1438 ], "Q": [ 1439 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6626": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1440 ], "Q": [ 1441 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6627": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1442 ], "Q": [ 1443 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6628": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1444 ], "Q": [ 1445 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6629": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1446 ], "Q": [ 1447 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6630": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1448 ], "Q": [ 1449 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6631": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1450 ], "Q": [ 1451 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6694": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 414 ], "Q": [ 415 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6695": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 416 ], "Q": [ 417 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6696": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 418 ], "Q": [ 419 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6697": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 420 ], "Q": [ 421 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6698": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 422 ], "Q": [ 423 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6699": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 424 ], "Q": [ 425 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6700": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 426 ], "Q": [ 427 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6701": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 428 ], "Q": [ 429 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6702": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 430 ], "Q": [ 431 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6703": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 432 ], "Q": [ 433 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6704": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 434 ], "Q": [ 648 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6705": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 412 ], "Q": [ 413 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6706": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 411 ], "Q": [ 410 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6730": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1452 ], "Q": [ 1453 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6731": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1452 ], "E": [ 431 ], "Q": [ 1454 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6732": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1455 ], "Q": [ 1456 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6733": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1457 ], "E": [ 413 ], "Q": [ 1458 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6734": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1459 ], "Q": [ 1460 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6735": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1459 ], "E": [ 413 ], "Q": [ 1461 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6736": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1462 ], "Q": [ 1463 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6737": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1464 ], "E": [ 425 ], "Q": [ 1465 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6738": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1466 ], "Q": [ 1467 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6739": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1466 ], "E": [ 425 ], "Q": [ 1468 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6740": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1469 ], "Q": [ 1470 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6741": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1471 ], "E": [ 419 ], "Q": [ 1472 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6742": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1473 ], "Q": [ 1474 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6743": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1473 ], "E": [ 419 ], "Q": [ 1475 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6745": { "hide_name": 1, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1476 ], "Q": [ 1477 ] } }, "$abc$5953$auto$blifparse.cc:352:parse_blif$6746": { "hide_name": 1, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 920 ], "D": [ 1476 ], "E": [ 648 ], "Q": [ 1478 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1218 ], "I2": [ 1110 ], "I3": [ "0" ], "O": [ 1061 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 1479 ], "I0": [ 1218 ], "I1": [ 1110 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1198 ], "I2": [ 1090 ], "I3": [ 1480 ], "O": [ 1041 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1480 ], "CO": [ 1481 ], "I0": [ 1198 ], "I1": [ 1090 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1196 ], "I2": [ 1088 ], "I3": [ 1481 ], "O": [ 1039 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1481 ], "CO": [ 1482 ], "I0": [ 1196 ], "I1": [ 1088 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1194 ], "I2": [ 1086 ], "I3": [ 1482 ], "O": [ 1037 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1482 ], "CO": [ 1483 ], "I0": [ 1194 ], "I1": [ 1086 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1192 ], "I2": [ 1084 ], "I3": [ 1483 ], "O": [ 1035 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1483 ], "CO": [ 1484 ], "I0": [ 1192 ], "I1": [ 1084 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1190 ], "I2": [ 1082 ], "I3": [ 1484 ], "O": [ 1033 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1484 ], "CO": [ 1485 ], "I0": [ 1190 ], "I1": [ 1082 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1188 ], "I2": [ 1080 ], "I3": [ 1485 ], "O": [ 1031 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1485 ], "CO": [ 1486 ], "I0": [ 1188 ], "I1": [ 1080 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1186 ], "I2": [ 1078 ], "I3": [ 1486 ], "O": [ 1029 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1486 ], "CO": [ 1487 ], "I0": [ 1186 ], "I1": [ 1078 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1184 ], "I2": [ 1076 ], "I3": [ 1487 ], "O": [ 1027 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1487 ], "CO": [ 1488 ], "I0": [ 1184 ], "I1": [ 1076 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1182 ], "I2": [ 1074 ], "I3": [ 1488 ], "O": [ 1025 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1488 ], "CO": [ 1489 ], "I0": [ 1182 ], "I1": [ 1074 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1180 ], "I2": [ 1072 ], "I3": [ 1489 ], "O": [ 1023 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1489 ], "CO": [ 1490 ], "I0": [ 1180 ], "I1": [ 1072 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1216 ], "I2": [ 1108 ], "I3": [ 1479 ], "O": [ 1059 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1479 ], "CO": [ 1491 ], "I0": [ 1216 ], "I1": [ 1108 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1178 ], "I2": [ 1070 ], "I3": [ 1490 ], "O": [ 1021 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1490 ], "CO": [ 1492 ], "I0": [ 1178 ], "I1": [ 1070 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1176 ], "I2": [ 1068 ], "I3": [ 1492 ], "O": [ 1019 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1492 ], "CO": [ 1493 ], "I0": [ 1176 ], "I1": [ 1068 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1174 ], "I2": [ 1066 ], "I3": [ 1493 ], "O": [ 1017 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1493 ], "CO": [ 1494 ], "I0": [ 1174 ], "I1": [ 1066 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1463 ], "I2": [ 1064 ], "I3": [ 1494 ], "O": [ 1015 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1214 ], "I2": [ 1106 ], "I3": [ 1491 ], "O": [ 1057 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1491 ], "CO": [ 1495 ], "I0": [ 1214 ], "I1": [ 1106 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1212 ], "I2": [ 1104 ], "I3": [ 1495 ], "O": [ 1055 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1495 ], "CO": [ 1496 ], "I0": [ 1212 ], "I1": [ 1104 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1210 ], "I2": [ 1102 ], "I3": [ 1496 ], "O": [ 1053 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1496 ], "CO": [ 1497 ], "I0": [ 1210 ], "I1": [ 1102 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1208 ], "I2": [ 1100 ], "I3": [ 1497 ], "O": [ 1051 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1497 ], "CO": [ 1498 ], "I0": [ 1208 ], "I1": [ 1100 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1206 ], "I2": [ 1098 ], "I3": [ 1498 ], "O": [ 1049 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1498 ], "CO": [ 1499 ], "I0": [ 1206 ], "I1": [ 1098 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1204 ], "I2": [ 1096 ], "I3": [ 1499 ], "O": [ 1047 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1499 ], "CO": [ 1500 ], "I0": [ 1204 ], "I1": [ 1096 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1202 ], "I2": [ 1094 ], "I3": [ 1500 ], "O": [ 1045 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1500 ], "CO": [ 1501 ], "I0": [ 1202 ], "I1": [ 1094 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1200 ], "I2": [ 1092 ], "I3": [ 1501 ], "O": [ 1043 ] } }, "$auto$alumacc.cc:474:replace_alu$268.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1501 ], "CO": [ 1480 ], "I0": [ 1200 ], "I1": [ 1092 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1062 ], "I2": [ 641 ], "I3": [ "1" ], "O": [ 1109 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 1502 ], "I0": [ 1062 ], "I1": [ 641 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1042 ], "I2": [ 595 ], "I3": [ 1503 ], "O": [ 1089 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1503 ], "CO": [ 1504 ], "I0": [ 1042 ], "I1": [ 595 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1040 ], "I2": [ 597 ], "I3": [ 1504 ], "O": [ 1087 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1504 ], "CO": [ 1505 ], "I0": [ 1040 ], "I1": [ 597 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1038 ], "I2": [ 599 ], "I3": [ 1505 ], "O": [ 1085 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1505 ], "CO": [ 1506 ], "I0": [ 1038 ], "I1": [ 599 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1036 ], "I2": [ 601 ], "I3": [ 1506 ], "O": [ 1083 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1506 ], "CO": [ 1507 ], "I0": [ 1036 ], "I1": [ 601 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1034 ], "I2": [ 603 ], "I3": [ 1507 ], "O": [ 1081 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1507 ], "CO": [ 1508 ], "I0": [ 1034 ], "I1": [ 603 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1032 ], "I2": [ 605 ], "I3": [ 1508 ], "O": [ 1079 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1508 ], "CO": [ 1509 ], "I0": [ 1032 ], "I1": [ 605 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1030 ], "I2": [ 607 ], "I3": [ 1509 ], "O": [ 1077 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1509 ], "CO": [ 1510 ], "I0": [ 1030 ], "I1": [ 607 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1028 ], "I2": [ 609 ], "I3": [ 1510 ], "O": [ 1075 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1510 ], "CO": [ 1511 ], "I0": [ 1028 ], "I1": [ 609 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1026 ], "I2": [ 611 ], "I3": [ 1511 ], "O": [ 1073 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1511 ], "CO": [ 1512 ], "I0": [ 1026 ], "I1": [ 611 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1024 ], "I2": [ 613 ], "I3": [ 1512 ], "O": [ 1071 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1512 ], "CO": [ 1513 ], "I0": [ 1024 ], "I1": [ 613 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1060 ], "I2": [ 587 ], "I3": [ 1502 ], "O": [ 1107 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1502 ], "CO": [ 1514 ], "I0": [ 1060 ], "I1": [ 587 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1022 ], "I2": [ 615 ], "I3": [ 1513 ], "O": [ 1069 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1513 ], "CO": [ 1515 ], "I0": [ 1022 ], "I1": [ 615 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1020 ], "I2": [ 617 ], "I3": [ 1515 ], "O": [ 1067 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1515 ], "CO": [ 1516 ], "I0": [ 1020 ], "I1": [ 617 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1018 ], "I2": [ 619 ], "I3": [ 1516 ], "O": [ 1065 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1516 ], "CO": [ 1517 ], "I0": [ 1018 ], "I1": [ 619 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1016 ], "I2": [ 621 ], "I3": [ 1517 ], "O": [ 1063 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1058 ], "I2": [ 645 ], "I3": [ 1514 ], "O": [ 1105 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1514 ], "CO": [ 1518 ], "I0": [ 1058 ], "I1": [ 645 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1056 ], "I2": [ 643 ], "I3": [ 1518 ], "O": [ 1103 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1518 ], "CO": [ 1519 ], "I0": [ 1056 ], "I1": [ 643 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1054 ], "I2": [ 647 ], "I3": [ 1519 ], "O": [ 1101 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1519 ], "CO": [ 1520 ], "I0": [ 1054 ], "I1": [ 647 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1052 ], "I2": [ 583 ], "I3": [ 1520 ], "O": [ 1099 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1520 ], "CO": [ 1521 ], "I0": [ 1052 ], "I1": [ 583 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1050 ], "I2": [ 585 ], "I3": [ 1521 ], "O": [ 1097 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1521 ], "CO": [ 1522 ], "I0": [ 1050 ], "I1": [ 585 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1048 ], "I2": [ 589 ], "I3": [ 1522 ], "O": [ 1095 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1522 ], "CO": [ 1523 ], "I0": [ 1048 ], "I1": [ 589 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1046 ], "I2": [ 591 ], "I3": [ 1523 ], "O": [ 1093 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1523 ], "CO": [ 1524 ], "I0": [ 1046 ], "I1": [ 591 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1044 ], "I2": [ 593 ], "I3": [ 1524 ], "O": [ 1091 ] } }, "$auto$alumacc.cc:474:replace_alu$271.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1524 ], "CO": [ 1503 ], "I0": [ 1044 ], "I1": [ 593 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 436 ], "I3": [ "0" ], "O": [ 934 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 436 ], "CO": [ 1525 ], "I0": [ "0" ], "I1": [ 435 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 941 ], "I3": [ 1525 ], "O": [ 931 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1525 ], "CO": [ 1526 ], "I0": [ "0" ], "I1": [ 941 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 940 ], "I3": [ 1526 ], "O": [ 929 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1526 ], "CO": [ 1527 ], "I0": [ "0" ], "I1": [ 940 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 939 ], "I3": [ 1527 ], "O": [ 927 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1527 ], "CO": [ 1528 ], "I0": [ "0" ], "I1": [ 939 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 938 ], "I3": [ 1528 ], "O": [ 925 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1528 ], "CO": [ 1529 ], "I0": [ "0" ], "I1": [ 938 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 937 ], "I3": [ 1529 ], "O": [ 923 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1529 ], "CO": [ 1530 ], "I0": [ "0" ], "I1": [ 937 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 936 ], "I3": [ 1530 ], "O": [ 921 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1530 ], "CO": [ 1531 ], "I0": [ "0" ], "I1": [ 936 ] } }, "$auto$alumacc.cc:474:replace_alu$274.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1454 ], "I3": [ 1531 ], "O": [ 1452 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 681 ], "I2": [ 1418 ], "I3": [ "0" ], "O": [ 1371 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 1532 ], "I0": [ 681 ], "I1": [ 1418 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 661 ], "I2": [ 1398 ], "I3": [ 1533 ], "O": [ 1351 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1533 ], "CO": [ 1534 ], "I0": [ 661 ], "I1": [ 1398 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 659 ], "I2": [ 1396 ], "I3": [ 1534 ], "O": [ 1349 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1534 ], "CO": [ 1535 ], "I0": [ 659 ], "I1": [ 1396 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 657 ], "I2": [ 1394 ], "I3": [ 1535 ], "O": [ 1347 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1535 ], "CO": [ 1536 ], "I0": [ 657 ], "I1": [ 1394 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 655 ], "I2": [ 1392 ], "I3": [ 1536 ], "O": [ 1345 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1536 ], "CO": [ 1537 ], "I0": [ 655 ], "I1": [ 1392 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 653 ], "I2": [ 1390 ], "I3": [ 1537 ], "O": [ 1343 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1537 ], "CO": [ 1538 ], "I0": [ 653 ], "I1": [ 1390 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 728 ], "I2": [ 1388 ], "I3": [ 1538 ], "O": [ 1341 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1538 ], "CO": [ 1539 ], "I0": [ 728 ], "I1": [ 1388 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1386 ], "I3": [ 1539 ], "O": [ 1339 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1539 ], "CO": [ 1540 ], "I0": [ "0" ], "I1": [ 1386 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1384 ], "I3": [ 1540 ], "O": [ 1337 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1540 ], "CO": [ 1541 ], "I0": [ "0" ], "I1": [ 1384 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1382 ], "I3": [ 1541 ], "O": [ 1335 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1541 ], "CO": [ 1542 ], "I0": [ "0" ], "I1": [ 1382 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1380 ], "I3": [ 1542 ], "O": [ 1333 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1542 ], "CO": [ 1543 ], "I0": [ "0" ], "I1": [ 1380 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 679 ], "I2": [ 1416 ], "I3": [ 1532 ], "O": [ 1369 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1532 ], "CO": [ 1544 ], "I0": [ 679 ], "I1": [ 1416 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1378 ], "I3": [ 1543 ], "O": [ 1331 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1543 ], "CO": [ 1545 ], "I0": [ "0" ], "I1": [ 1378 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1376 ], "I3": [ 1545 ], "O": [ 1329 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1545 ], "CO": [ 1546 ], "I0": [ "0" ], "I1": [ 1376 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1374 ], "I3": [ 1546 ], "O": [ 1327 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1546 ], "CO": [ 1547 ], "I0": [ "0" ], "I1": [ 1374 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1456 ], "I3": [ 1547 ], "O": [ 1457 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 677 ], "I2": [ 1414 ], "I3": [ 1544 ], "O": [ 1367 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1544 ], "CO": [ 1548 ], "I0": [ 677 ], "I1": [ 1414 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 675 ], "I2": [ 1412 ], "I3": [ 1548 ], "O": [ 1365 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1548 ], "CO": [ 1549 ], "I0": [ 675 ], "I1": [ 1412 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 673 ], "I2": [ 1410 ], "I3": [ 1549 ], "O": [ 1363 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1549 ], "CO": [ 1550 ], "I0": [ 673 ], "I1": [ 1410 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 671 ], "I2": [ 1408 ], "I3": [ 1550 ], "O": [ 1361 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1550 ], "CO": [ 1551 ], "I0": [ 671 ], "I1": [ 1408 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 669 ], "I2": [ 1406 ], "I3": [ 1551 ], "O": [ 1359 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1551 ], "CO": [ 1552 ], "I0": [ 669 ], "I1": [ 1406 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 667 ], "I2": [ 1404 ], "I3": [ 1552 ], "O": [ 1357 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1552 ], "CO": [ 1553 ], "I0": [ 667 ], "I1": [ 1404 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 665 ], "I2": [ 1402 ], "I3": [ 1553 ], "O": [ 1355 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1553 ], "CO": [ 1554 ], "I0": [ 665 ], "I1": [ 1402 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 663 ], "I2": [ 1400 ], "I3": [ 1554 ], "O": [ 1353 ] } }, "$auto$alumacc.cc:474:replace_alu$277.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1554 ], "CO": [ 1533 ], "I0": [ 663 ], "I1": [ 1400 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1372 ], "I2": [ 571 ], "I3": [ "1" ], "O": [ 1417 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 1555 ], "I0": [ 1372 ], "I1": [ 571 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1352 ], "I2": [ 561 ], "I3": [ 1556 ], "O": [ 1397 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1556 ], "CO": [ 1557 ], "I0": [ 1352 ], "I1": [ 561 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1350 ], "I2": [ 559 ], "I3": [ 1557 ], "O": [ 1395 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1557 ], "CO": [ 1558 ], "I0": [ 1350 ], "I1": [ 559 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1348 ], "I2": [ 557 ], "I3": [ 1558 ], "O": [ 1393 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1558 ], "CO": [ 1559 ], "I0": [ 1348 ], "I1": [ 557 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1346 ], "I2": [ 581 ], "I3": [ 1559 ], "O": [ 1391 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1559 ], "CO": [ 1560 ], "I0": [ 1346 ], "I1": [ 581 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1344 ], "I2": [ 579 ], "I3": [ 1560 ], "O": [ 1389 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1560 ], "CO": [ 1561 ], "I0": [ 1344 ], "I1": [ 579 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1342 ], "I2": [ 577 ], "I3": [ 1561 ], "O": [ 1387 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1561 ], "CO": [ 1562 ], "I0": [ 1342 ], "I1": [ 577 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1340 ], "I2": [ "1" ], "I3": [ 1562 ], "O": [ 1385 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1562 ], "CO": [ 1563 ], "I0": [ 1340 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1338 ], "I2": [ "1" ], "I3": [ 1563 ], "O": [ 1383 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1563 ], "CO": [ 1564 ], "I0": [ 1338 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1336 ], "I2": [ "1" ], "I3": [ 1564 ], "O": [ 1381 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1564 ], "CO": [ 1565 ], "I0": [ 1336 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1334 ], "I2": [ "1" ], "I3": [ 1565 ], "O": [ 1379 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1565 ], "CO": [ 1566 ], "I0": [ 1334 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1370 ], "I2": [ 569 ], "I3": [ 1555 ], "O": [ 1415 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1555 ], "CO": [ 1567 ], "I0": [ 1370 ], "I1": [ 569 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1332 ], "I2": [ "1" ], "I3": [ 1566 ], "O": [ 1377 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1566 ], "CO": [ 1568 ], "I0": [ 1332 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1330 ], "I2": [ "1" ], "I3": [ 1568 ], "O": [ 1375 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1568 ], "CO": [ 1569 ], "I0": [ 1330 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1328 ], "I2": [ "1" ], "I3": [ 1569 ], "O": [ 1373 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1569 ], "CO": [ 1570 ], "I0": [ 1328 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1458 ], "I2": [ "1" ], "I3": [ 1570 ], "O": [ 1455 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1368 ], "I2": [ 575 ], "I3": [ 1567 ], "O": [ 1413 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1567 ], "CO": [ 1571 ], "I0": [ 1368 ], "I1": [ 575 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1366 ], "I2": [ 573 ], "I3": [ 1571 ], "O": [ 1411 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1571 ], "CO": [ 1572 ], "I0": [ 1366 ], "I1": [ 573 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1364 ], "I2": [ 545 ], "I3": [ 1572 ], "O": [ 1409 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1572 ], "CO": [ 1573 ], "I0": [ 1364 ], "I1": [ 545 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1362 ], "I2": [ 543 ], "I3": [ 1573 ], "O": [ 1407 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1573 ], "CO": [ 1574 ], "I0": [ 1362 ], "I1": [ 543 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1360 ], "I2": [ 541 ], "I3": [ 1574 ], "O": [ 1405 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1574 ], "CO": [ 1575 ], "I0": [ 1360 ], "I1": [ 541 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1358 ], "I2": [ 567 ], "I3": [ 1575 ], "O": [ 1403 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1575 ], "CO": [ 1576 ], "I0": [ 1358 ], "I1": [ 567 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1356 ], "I2": [ 565 ], "I3": [ 1576 ], "O": [ 1401 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1576 ], "CO": [ 1577 ], "I0": [ 1356 ], "I1": [ 565 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1354 ], "I2": [ 563 ], "I3": [ 1577 ], "O": [ 1399 ] } }, "$auto$alumacc.cc:474:replace_alu$280.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1577 ], "CO": [ 1556 ], "I0": [ 1354 ], "I1": [ 563 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 439 ], "I3": [ "0" ], "O": [ 997 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 439 ], "CO": [ 1578 ], "I0": [ "0" ], "I1": [ 438 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1004 ], "I3": [ 1578 ], "O": [ 994 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1578 ], "CO": [ 1579 ], "I0": [ "0" ], "I1": [ 1004 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1003 ], "I3": [ 1579 ], "O": [ 992 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1579 ], "CO": [ 1580 ], "I0": [ "0" ], "I1": [ 1003 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1002 ], "I3": [ 1580 ], "O": [ 990 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1580 ], "CO": [ 1581 ], "I0": [ "0" ], "I1": [ 1002 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1001 ], "I3": [ 1581 ], "O": [ 988 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1581 ], "CO": [ 1582 ], "I0": [ "0" ], "I1": [ 1001 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1000 ], "I3": [ 1582 ], "O": [ 986 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1582 ], "CO": [ 1583 ], "I0": [ "0" ], "I1": [ 1000 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 999 ], "I3": [ 1583 ], "O": [ 984 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1583 ], "CO": [ 1584 ], "I0": [ "0" ], "I1": [ 999 ] } }, "$auto$alumacc.cc:474:replace_alu$283.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1461 ], "I3": [ 1584 ], "O": [ 1459 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1326 ], "I2": [ 1234 ], "I3": [ "0" ], "O": [ 1171 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 1585 ], "I0": [ 1326 ], "I1": [ 1234 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1306 ], "I2": [ 1214 ], "I3": [ 1586 ], "O": [ 1151 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1586 ], "CO": [ 1587 ], "I0": [ 1306 ], "I1": [ 1214 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1304 ], "I2": [ 1212 ], "I3": [ 1587 ], "O": [ 1149 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1587 ], "CO": [ 1588 ], "I0": [ 1304 ], "I1": [ 1212 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1302 ], "I2": [ 1210 ], "I3": [ 1588 ], "O": [ 1147 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1588 ], "CO": [ 1589 ], "I0": [ 1302 ], "I1": [ 1210 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1300 ], "I2": [ 1208 ], "I3": [ 1589 ], "O": [ 1145 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1589 ], "CO": [ 1590 ], "I0": [ 1300 ], "I1": [ 1208 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1298 ], "I2": [ 1206 ], "I3": [ 1590 ], "O": [ 1143 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1590 ], "CO": [ 1591 ], "I0": [ 1298 ], "I1": [ 1206 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1296 ], "I2": [ 1204 ], "I3": [ 1591 ], "O": [ 1141 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1591 ], "CO": [ 1592 ], "I0": [ 1296 ], "I1": [ 1204 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1294 ], "I2": [ 1202 ], "I3": [ 1592 ], "O": [ 1139 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1592 ], "CO": [ 1593 ], "I0": [ 1294 ], "I1": [ 1202 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1292 ], "I2": [ 1200 ], "I3": [ 1593 ], "O": [ 1137 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1593 ], "CO": [ 1594 ], "I0": [ 1292 ], "I1": [ 1200 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1290 ], "I2": [ 1198 ], "I3": [ 1594 ], "O": [ 1135 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1594 ], "CO": [ 1595 ], "I0": [ 1290 ], "I1": [ 1198 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1288 ], "I2": [ 1196 ], "I3": [ 1595 ], "O": [ 1133 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1595 ], "CO": [ 1596 ], "I0": [ 1288 ], "I1": [ 1196 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1324 ], "I2": [ 1232 ], "I3": [ 1585 ], "O": [ 1169 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1585 ], "CO": [ 1597 ], "I0": [ 1324 ], "I1": [ 1232 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1286 ], "I2": [ 1194 ], "I3": [ 1596 ], "O": [ 1131 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1596 ], "CO": [ 1598 ], "I0": [ 1286 ], "I1": [ 1194 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1284 ], "I2": [ 1192 ], "I3": [ 1598 ], "O": [ 1129 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1598 ], "CO": [ 1599 ], "I0": [ 1284 ], "I1": [ 1192 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1282 ], "I2": [ 1190 ], "I3": [ 1599 ], "O": [ 1127 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1599 ], "CO": [ 1600 ], "I0": [ 1282 ], "I1": [ 1190 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1470 ], "I2": [ 1188 ], "I3": [ 1600 ], "O": [ 1125 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1600 ], "CO": [ 1601 ], "I0": [ 1470 ], "I1": [ 1188 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1186 ], "I3": [ 1601 ], "O": [ 1123 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1601 ], "CO": [ 1602 ], "I0": [ "0" ], "I1": [ 1186 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1184 ], "I3": [ 1602 ], "O": [ 1121 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1602 ], "CO": [ 1603 ], "I0": [ "0" ], "I1": [ 1184 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1182 ], "I3": [ 1603 ], "O": [ 1119 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1603 ], "CO": [ 1604 ], "I0": [ "0" ], "I1": [ 1182 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1180 ], "I3": [ 1604 ], "O": [ 1117 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1604 ], "CO": [ 1605 ], "I0": [ "0" ], "I1": [ 1180 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1178 ], "I3": [ 1605 ], "O": [ 1115 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1605 ], "CO": [ 1606 ], "I0": [ "0" ], "I1": [ 1178 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1176 ], "I3": [ 1606 ], "O": [ 1113 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1606 ], "CO": [ 1607 ], "I0": [ "0" ], "I1": [ 1176 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1322 ], "I2": [ 1230 ], "I3": [ 1597 ], "O": [ 1167 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1597 ], "CO": [ 1608 ], "I0": [ 1322 ], "I1": [ 1230 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1174 ], "I3": [ 1607 ], "O": [ 1111 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1607 ], "CO": [ 1609 ], "I0": [ "0" ], "I1": [ 1174 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1463 ], "I3": [ 1609 ], "O": [ 1464 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1320 ], "I2": [ 1228 ], "I3": [ 1608 ], "O": [ 1165 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1608 ], "CO": [ 1610 ], "I0": [ 1320 ], "I1": [ 1228 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1318 ], "I2": [ 1226 ], "I3": [ 1610 ], "O": [ 1163 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1610 ], "CO": [ 1611 ], "I0": [ 1318 ], "I1": [ 1226 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1316 ], "I2": [ 1224 ], "I3": [ 1611 ], "O": [ 1161 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1611 ], "CO": [ 1612 ], "I0": [ 1316 ], "I1": [ 1224 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1314 ], "I2": [ 1222 ], "I3": [ 1612 ], "O": [ 1159 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1612 ], "CO": [ 1613 ], "I0": [ 1314 ], "I1": [ 1222 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1312 ], "I2": [ 1220 ], "I3": [ 1613 ], "O": [ 1157 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1613 ], "CO": [ 1614 ], "I0": [ 1312 ], "I1": [ 1220 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1310 ], "I2": [ 1218 ], "I3": [ 1614 ], "O": [ 1155 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1614 ], "CO": [ 1615 ], "I0": [ 1310 ], "I1": [ 1218 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1308 ], "I2": [ 1216 ], "I3": [ 1615 ], "O": [ 1153 ] } }, "$auto$alumacc.cc:474:replace_alu$286.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1615 ], "CO": [ 1586 ], "I0": [ 1308 ], "I1": [ 1216 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1172 ], "I2": [ 509 ], "I3": [ "1" ], "O": [ 1233 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 1616 ], "I0": [ 1172 ], "I1": [ 509 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1152 ], "I2": [ 513 ], "I3": [ 1617 ], "O": [ 1213 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1617 ], "CO": [ 1618 ], "I0": [ 1152 ], "I1": [ 513 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1150 ], "I2": [ 511 ], "I3": [ 1618 ], "O": [ 1211 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1618 ], "CO": [ 1619 ], "I0": [ 1150 ], "I1": [ 511 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1148 ], "I2": [ 533 ], "I3": [ 1619 ], "O": [ 1209 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1619 ], "CO": [ 1620 ], "I0": [ 1148 ], "I1": [ 533 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1146 ], "I2": [ 531 ], "I3": [ 1620 ], "O": [ 1207 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1620 ], "CO": [ 1621 ], "I0": [ 1146 ], "I1": [ 531 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1144 ], "I2": [ 529 ], "I3": [ 1621 ], "O": [ 1205 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1621 ], "CO": [ 1622 ], "I0": [ 1144 ], "I1": [ 529 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1142 ], "I2": [ 539 ], "I3": [ 1622 ], "O": [ 1203 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1622 ], "CO": [ 1623 ], "I0": [ 1142 ], "I1": [ 539 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1140 ], "I2": [ 537 ], "I3": [ 1623 ], "O": [ 1201 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1623 ], "CO": [ 1624 ], "I0": [ 1140 ], "I1": [ 537 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1138 ], "I2": [ 535 ], "I3": [ 1624 ], "O": [ 1199 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1624 ], "CO": [ 1625 ], "I0": [ 1138 ], "I1": [ 535 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1136 ], "I2": [ 527 ], "I3": [ 1625 ], "O": [ 1197 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1625 ], "CO": [ 1626 ], "I0": [ 1136 ], "I1": [ 527 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1134 ], "I2": [ 525 ], "I3": [ 1626 ], "O": [ 1195 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1626 ], "CO": [ 1627 ], "I0": [ 1134 ], "I1": [ 525 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1170 ], "I2": [ 503 ], "I3": [ 1616 ], "O": [ 1231 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1616 ], "CO": [ 1628 ], "I0": [ 1170 ], "I1": [ 503 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1132 ], "I2": [ 523 ], "I3": [ 1627 ], "O": [ 1193 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1627 ], "CO": [ 1629 ], "I0": [ 1132 ], "I1": [ 523 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1130 ], "I2": [ 555 ], "I3": [ 1629 ], "O": [ 1191 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1629 ], "CO": [ 1630 ], "I0": [ 1130 ], "I1": [ 555 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1128 ], "I2": [ 553 ], "I3": [ 1630 ], "O": [ 1189 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1630 ], "CO": [ 1631 ], "I0": [ 1128 ], "I1": [ 553 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1126 ], "I2": [ 551 ], "I3": [ 1631 ], "O": [ 1187 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[23].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1631 ], "CO": [ 1632 ], "I0": [ 1126 ], "I1": [ 551 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[24].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1124 ], "I2": [ "1" ], "I3": [ 1632 ], "O": [ 1185 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[24].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1632 ], "CO": [ 1633 ], "I0": [ 1124 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[25].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1122 ], "I2": [ "1" ], "I3": [ 1633 ], "O": [ 1183 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[25].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1633 ], "CO": [ 1634 ], "I0": [ 1122 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[26].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1120 ], "I2": [ "1" ], "I3": [ 1634 ], "O": [ 1181 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[26].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1634 ], "CO": [ 1635 ], "I0": [ 1120 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[27].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1118 ], "I2": [ "1" ], "I3": [ 1635 ], "O": [ 1179 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[27].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1635 ], "CO": [ 1636 ], "I0": [ 1118 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[28].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1116 ], "I2": [ "1" ], "I3": [ 1636 ], "O": [ 1177 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[28].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1636 ], "CO": [ 1637 ], "I0": [ 1116 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[29].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1114 ], "I2": [ "1" ], "I3": [ 1637 ], "O": [ 1175 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[29].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1637 ], "CO": [ 1638 ], "I0": [ 1114 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1168 ], "I2": [ 547 ], "I3": [ 1628 ], "O": [ 1229 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1628 ], "CO": [ 1639 ], "I0": [ 1168 ], "I1": [ 547 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[30].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1112 ], "I2": [ "1" ], "I3": [ 1638 ], "O": [ 1173 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[30].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1638 ], "CO": [ 1640 ], "I0": [ 1112 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[31].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1465 ], "I2": [ "1" ], "I3": [ 1640 ], "O": [ 1462 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1166 ], "I2": [ 505 ], "I3": [ 1639 ], "O": [ 1227 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1639 ], "CO": [ 1641 ], "I0": [ 1166 ], "I1": [ 505 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1164 ], "I2": [ 507 ], "I3": [ 1641 ], "O": [ 1225 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1641 ], "CO": [ 1642 ], "I0": [ 1164 ], "I1": [ 507 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1162 ], "I2": [ 549 ], "I3": [ 1642 ], "O": [ 1223 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1642 ], "CO": [ 1643 ], "I0": [ 1162 ], "I1": [ 549 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1160 ], "I2": [ 521 ], "I3": [ 1643 ], "O": [ 1221 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1643 ], "CO": [ 1644 ], "I0": [ 1160 ], "I1": [ 521 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1158 ], "I2": [ 519 ], "I3": [ 1644 ], "O": [ 1219 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1644 ], "CO": [ 1645 ], "I0": [ 1158 ], "I1": [ 519 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1156 ], "I2": [ 517 ], "I3": [ 1645 ], "O": [ 1217 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1645 ], "CO": [ 1646 ], "I0": [ 1156 ], "I1": [ 517 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1154 ], "I2": [ 515 ], "I3": [ 1646 ], "O": [ 1215 ] } }, "$auto$alumacc.cc:474:replace_alu$289.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1646 ], "CO": [ 1617 ], "I0": [ 1154 ], "I1": [ 515 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 442 ], "I3": [ "0" ], "O": [ 955 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 442 ], "CO": [ 1647 ], "I0": [ "0" ], "I1": [ 441 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 962 ], "I3": [ 1647 ], "O": [ 952 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1647 ], "CO": [ 1648 ], "I0": [ "0" ], "I1": [ 962 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 961 ], "I3": [ 1648 ], "O": [ 950 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1648 ], "CO": [ 1649 ], "I0": [ "0" ], "I1": [ 961 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 960 ], "I3": [ 1649 ], "O": [ 948 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1649 ], "CO": [ 1650 ], "I0": [ "0" ], "I1": [ 960 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 959 ], "I3": [ 1650 ], "O": [ 946 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1650 ], "CO": [ 1651 ], "I0": [ "0" ], "I1": [ 959 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 958 ], "I3": [ 1651 ], "O": [ 944 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1651 ], "CO": [ 1652 ], "I0": [ "0" ], "I1": [ 958 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 957 ], "I3": [ 1652 ], "O": [ 942 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1652 ], "CO": [ 1653 ], "I0": [ "0" ], "I1": [ 957 ] } }, "$auto$alumacc.cc:474:replace_alu$292.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1468 ], "I3": [ 1653 ], "O": [ 1466 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1402 ], "I2": [ 1326 ], "I3": [ "0" ], "O": [ 1279 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "0" ], "CO": [ 1654 ], "I0": [ 1402 ], "I1": [ 1326 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1382 ], "I2": [ 1306 ], "I3": [ 1655 ], "O": [ 1259 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1655 ], "CO": [ 1656 ], "I0": [ 1382 ], "I1": [ 1306 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1380 ], "I2": [ 1304 ], "I3": [ 1656 ], "O": [ 1257 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1656 ], "CO": [ 1657 ], "I0": [ 1380 ], "I1": [ 1304 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1378 ], "I2": [ 1302 ], "I3": [ 1657 ], "O": [ 1255 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1657 ], "CO": [ 1658 ], "I0": [ 1378 ], "I1": [ 1302 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1376 ], "I2": [ 1300 ], "I3": [ 1658 ], "O": [ 1253 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1658 ], "CO": [ 1659 ], "I0": [ 1376 ], "I1": [ 1300 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1374 ], "I2": [ 1298 ], "I3": [ 1659 ], "O": [ 1251 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1659 ], "CO": [ 1660 ], "I0": [ 1374 ], "I1": [ 1298 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1456 ], "I2": [ 1296 ], "I3": [ 1660 ], "O": [ 1249 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1660 ], "CO": [ 1661 ], "I0": [ 1456 ], "I1": [ 1296 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1294 ], "I3": [ 1661 ], "O": [ 1247 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1661 ], "CO": [ 1662 ], "I0": [ "0" ], "I1": [ 1294 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1292 ], "I3": [ 1662 ], "O": [ 1245 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1662 ], "CO": [ 1663 ], "I0": [ "0" ], "I1": [ 1292 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1290 ], "I3": [ 1663 ], "O": [ 1243 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1663 ], "CO": [ 1664 ], "I0": [ "0" ], "I1": [ 1290 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1288 ], "I3": [ 1664 ], "O": [ 1241 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1664 ], "CO": [ 1665 ], "I0": [ "0" ], "I1": [ 1288 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1400 ], "I2": [ 1324 ], "I3": [ 1654 ], "O": [ 1277 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1654 ], "CO": [ 1666 ], "I0": [ 1400 ], "I1": [ 1324 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1286 ], "I3": [ 1665 ], "O": [ 1239 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1665 ], "CO": [ 1667 ], "I0": [ "0" ], "I1": [ 1286 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1284 ], "I3": [ 1667 ], "O": [ 1237 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1667 ], "CO": [ 1668 ], "I0": [ "0" ], "I1": [ 1284 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1282 ], "I3": [ 1668 ], "O": [ 1235 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1668 ], "CO": [ 1669 ], "I0": [ "0" ], "I1": [ 1282 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1470 ], "I3": [ 1669 ], "O": [ 1471 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1398 ], "I2": [ 1322 ], "I3": [ 1666 ], "O": [ 1275 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1666 ], "CO": [ 1670 ], "I0": [ 1398 ], "I1": [ 1322 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1396 ], "I2": [ 1320 ], "I3": [ 1670 ], "O": [ 1273 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1670 ], "CO": [ 1671 ], "I0": [ 1396 ], "I1": [ 1320 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1394 ], "I2": [ 1318 ], "I3": [ 1671 ], "O": [ 1271 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1671 ], "CO": [ 1672 ], "I0": [ 1394 ], "I1": [ 1318 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1392 ], "I2": [ 1316 ], "I3": [ 1672 ], "O": [ 1269 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1672 ], "CO": [ 1673 ], "I0": [ 1392 ], "I1": [ 1316 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1390 ], "I2": [ 1314 ], "I3": [ 1673 ], "O": [ 1267 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1673 ], "CO": [ 1674 ], "I0": [ 1390 ], "I1": [ 1314 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1388 ], "I2": [ 1312 ], "I3": [ 1674 ], "O": [ 1265 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1674 ], "CO": [ 1675 ], "I0": [ 1388 ], "I1": [ 1312 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1386 ], "I2": [ 1310 ], "I3": [ 1675 ], "O": [ 1263 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1675 ], "CO": [ 1676 ], "I0": [ 1386 ], "I1": [ 1310 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1384 ], "I2": [ 1308 ], "I3": [ 1676 ], "O": [ 1261 ] } }, "$auto$alumacc.cc:474:replace_alu$295.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1676 ], "CO": [ 1655 ], "I0": [ 1384 ], "I1": [ 1308 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1280 ], "I2": [ 637 ], "I3": [ "1" ], "O": [ 1325 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 1677 ], "I0": [ 1280 ], "I1": [ 637 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1260 ], "I2": [ 469 ], "I3": [ 1678 ], "O": [ 1305 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1678 ], "CO": [ 1679 ], "I0": [ 1260 ], "I1": [ 469 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1258 ], "I2": [ 493 ], "I3": [ 1679 ], "O": [ 1303 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1679 ], "CO": [ 1680 ], "I0": [ 1258 ], "I1": [ 493 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1256 ], "I2": [ 495 ], "I3": [ 1680 ], "O": [ 1301 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1680 ], "CO": [ 1681 ], "I0": [ 1256 ], "I1": [ 495 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1254 ], "I2": [ 497 ], "I3": [ 1681 ], "O": [ 1299 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1681 ], "CO": [ 1682 ], "I0": [ 1254 ], "I1": [ 497 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1252 ], "I2": [ 499 ], "I3": [ 1682 ], "O": [ 1297 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1682 ], "CO": [ 1683 ], "I0": [ 1252 ], "I1": [ 499 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1250 ], "I2": [ 501 ], "I3": [ 1683 ], "O": [ 1295 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[15].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1683 ], "CO": [ 1684 ], "I0": [ 1250 ], "I1": [ 501 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[16].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1248 ], "I2": [ "1" ], "I3": [ 1684 ], "O": [ 1293 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[16].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1684 ], "CO": [ 1685 ], "I0": [ 1248 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[17].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1246 ], "I2": [ "1" ], "I3": [ 1685 ], "O": [ 1291 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[17].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1685 ], "CO": [ 1686 ], "I0": [ 1246 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[18].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1244 ], "I2": [ "1" ], "I3": [ 1686 ], "O": [ 1289 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[18].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1686 ], "CO": [ 1687 ], "I0": [ 1244 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[19].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1242 ], "I2": [ "1" ], "I3": [ 1687 ], "O": [ 1287 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[19].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1687 ], "CO": [ 1688 ], "I0": [ 1242 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1278 ], "I2": [ 631 ], "I3": [ 1677 ], "O": [ 1323 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1677 ], "CO": [ 1689 ], "I0": [ 1278 ], "I1": [ 631 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[20].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1240 ], "I2": [ "1" ], "I3": [ 1688 ], "O": [ 1285 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[20].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1688 ], "CO": [ 1690 ], "I0": [ 1240 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[21].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1238 ], "I2": [ "1" ], "I3": [ 1690 ], "O": [ 1283 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[21].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1690 ], "CO": [ 1691 ], "I0": [ 1238 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[22].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1236 ], "I2": [ "1" ], "I3": [ 1691 ], "O": [ 1281 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[22].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1691 ], "CO": [ 1692 ], "I0": [ 1236 ], "I1": [ "1" ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[23].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1472 ], "I2": [ "1" ], "I3": [ 1692 ], "O": [ 1469 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1276 ], "I2": [ 639 ], "I3": [ 1689 ], "O": [ 1321 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1689 ], "CO": [ 1693 ], "I0": [ 1276 ], "I1": [ 639 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1274 ], "I2": [ 629 ], "I3": [ 1693 ], "O": [ 1319 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1693 ], "CO": [ 1694 ], "I0": [ 1274 ], "I1": [ 629 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1272 ], "I2": [ 627 ], "I3": [ 1694 ], "O": [ 1317 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1694 ], "CO": [ 1695 ], "I0": [ 1272 ], "I1": [ 627 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1270 ], "I2": [ 633 ], "I3": [ 1695 ], "O": [ 1315 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1695 ], "CO": [ 1696 ], "I0": [ 1270 ], "I1": [ 633 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1268 ], "I2": [ 635 ], "I3": [ 1696 ], "O": [ 1313 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1696 ], "CO": [ 1697 ], "I0": [ 1268 ], "I1": [ 635 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1266 ], "I2": [ 463 ], "I3": [ 1697 ], "O": [ 1311 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1697 ], "CO": [ 1698 ], "I0": [ 1266 ], "I1": [ 463 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1264 ], "I2": [ 465 ], "I3": [ 1698 ], "O": [ 1309 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1698 ], "CO": [ 1699 ], "I0": [ 1264 ], "I1": [ 465 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1262 ], "I2": [ 467 ], "I3": [ 1699 ], "O": [ 1307 ] } }, "$auto$alumacc.cc:474:replace_alu$298.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1699 ], "CO": [ 1678 ], "I0": [ 1262 ], "I1": [ 467 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 445 ], "I3": [ "0" ], "O": [ 976 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 445 ], "CO": [ 1700 ], "I0": [ "0" ], "I1": [ 444 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 983 ], "I3": [ 1700 ], "O": [ 973 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1700 ], "CO": [ 1701 ], "I0": [ "0" ], "I1": [ 983 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 982 ], "I3": [ 1701 ], "O": [ 971 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1701 ], "CO": [ 1702 ], "I0": [ "0" ], "I1": [ 982 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 981 ], "I3": [ 1702 ], "O": [ 969 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1702 ], "CO": [ 1703 ], "I0": [ "0" ], "I1": [ 981 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 980 ], "I3": [ 1703 ], "O": [ 967 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1703 ], "CO": [ 1704 ], "I0": [ "0" ], "I1": [ 980 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 979 ], "I3": [ 1704 ], "O": [ 965 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1704 ], "CO": [ 1705 ], "I0": [ "0" ], "I1": [ 979 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 978 ], "I3": [ 1705 ], "O": [ 963 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1705 ], "CO": [ 1706 ], "I0": [ "0" ], "I1": [ 978 ] } }, "$auto$alumacc.cc:474:replace_alu$301.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1475 ], "I3": [ 1706 ], "O": [ 1473 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1094 ], "I2": [ 625 ], "I3": [ "1" ], "O": [ 1450 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[0].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ "1" ], "CO": [ 1707 ], "I0": [ 1094 ], "I1": [ 625 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[10].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1074 ], "I2": [ 487 ], "I3": [ 1708 ], "O": [ 1430 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[10].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1708 ], "CO": [ 1709 ], "I0": [ 1074 ], "I1": [ 487 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[11].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1072 ], "I2": [ 461 ], "I3": [ 1709 ], "O": [ 1428 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[11].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1709 ], "CO": [ 1710 ], "I0": [ 1072 ], "I1": [ 461 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[12].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1070 ], "I2": [ 455 ], "I3": [ 1710 ], "O": [ 1426 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[12].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1710 ], "CO": [ 1711 ], "I0": [ 1070 ], "I1": [ 455 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[13].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1068 ], "I2": [ 459 ], "I3": [ 1711 ], "O": [ 1424 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[13].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1711 ], "CO": [ 1712 ], "I0": [ 1068 ], "I1": [ 459 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[14].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1066 ], "I2": [ 457 ], "I3": [ 1712 ], "O": [ 1422 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[14].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1712 ], "CO": [ 1713 ], "I0": [ 1066 ], "I1": [ 457 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[15].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1064 ], "I2": [ 479 ], "I3": [ 1713 ], "O": [ 1420 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[1].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1092 ], "I2": [ 471 ], "I3": [ 1707 ], "O": [ 1448 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1707 ], "CO": [ 1714 ], "I0": [ 1092 ], "I1": [ 471 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1090 ], "I2": [ 491 ], "I3": [ 1714 ], "O": [ 1446 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1714 ], "CO": [ 1715 ], "I0": [ 1090 ], "I1": [ 491 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1088 ], "I2": [ 481 ], "I3": [ 1715 ], "O": [ 1444 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1715 ], "CO": [ 1716 ], "I0": [ 1088 ], "I1": [ 481 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1086 ], "I2": [ 483 ], "I3": [ 1716 ], "O": [ 1442 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[4].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1716 ], "CO": [ 1717 ], "I0": [ 1086 ], "I1": [ 483 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[5].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1084 ], "I2": [ 473 ], "I3": [ 1717 ], "O": [ 1440 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[5].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1717 ], "CO": [ 1718 ], "I0": [ 1084 ], "I1": [ 473 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[6].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1082 ], "I2": [ 475 ], "I3": [ 1718 ], "O": [ 1438 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[6].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1718 ], "CO": [ 1719 ], "I0": [ 1082 ], "I1": [ 475 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[7].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1080 ], "I2": [ 623 ], "I3": [ 1719 ], "O": [ 1436 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[7].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1719 ], "CO": [ 1720 ], "I0": [ 1080 ], "I1": [ 623 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[8].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1078 ], "I2": [ 485 ], "I3": [ 1720 ], "O": [ 1434 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[8].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1720 ], "CO": [ 1721 ], "I0": [ 1078 ], "I1": [ 485 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[9].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1076 ], "I2": [ 477 ], "I3": [ 1721 ], "O": [ 1432 ] } }, "$auto$alumacc.cc:474:replace_alu$304.slice[9].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1721 ], "CO": [ 1708 ], "I0": [ 1076 ], "I1": [ 477 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "1" ], "I2": [ 448 ], "I3": [ "0" ], "O": [ 1011 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[1].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 448 ], "CO": [ 1722 ], "I0": [ "0" ], "I1": [ 447 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[2].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1014 ], "I3": [ 1722 ], "O": [ 1008 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[2].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1722 ], "CO": [ 1723 ], "I0": [ "0" ], "I1": [ 1014 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[3].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1013 ], "I3": [ 1723 ], "O": [ 1006 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[3].carry": { "hide_name": 1, "type": "SB_CARRY", "parameters": { }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1723 ], "CO": [ 1724 ], "I0": [ "0" ], "I1": [ 1013 ] } }, "$auto$alumacc.cc:474:replace_alu$307.slice[4].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1478 ], "I3": [ 1724 ], "O": [ 1476 ] } }, "$auto$alumacc.cc:474:replace_alu$310.slice[0].adder": { "hide_name": 1, "type": "SB_LUT4", "parameters": { "LUT_INIT": 27030 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:90|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1451 ], "I2": [ 489 ], "I3": [ "1" ], "O": [ 1419 ] } }, "fclkoutn": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 16 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:124" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "1" ], "D_OUT_1": [ "0" ], "OUTPUT_CLK": [ 650 ], "OUTPUT_ENABLE": [ "1" ], "PACKAGE_PIN": [ 6 ] } }, "fclkoutp": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 16 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:114" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "1" ], "OUTPUT_CLK": [ 650 ], "OUTPUT_ENABLE": [ "1" ], "PACKAGE_PIN": [ 5 ] } }, "pll8020.uut": { "hide_name": 0, "type": "SB_PLL40_CORE", "parameters": { "DIVF": 0, "DIVQ": 5, "DIVR": 3, "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": 2 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:30|pll.v:19" }, "port_directions": { "BYPASS": "input", "LOCK": "output", "PLLOUTCORE": "output", "REFERENCECLK": "input", "RESETB": "input" }, "connections": { "BYPASS": [ "0" ], "LOCK": [ 1725 ], "PLLOUTCORE": [ 920 ], "REFERENCECLK": [ 650 ], "RESETB": [ "1" ] } }, "right.stage_four.delayline.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 640, 1726, 586, 1727, 644, 1728, 642, 1729, 646, 1730, 582, 1731, 584, 1732, 588, 1733 ], "RE": [ "1" ], "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 431 ], "WDATA": [ 1218, "x", 1216, "x", 1214, "x", 1212, "x", 1210, "x", 1208, "x", 1206, "x", 1204, "x" ], "WE": [ "1" ] } }, "right.stage_four.delayline.mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 590, 1734, 592, 1735, 594, 1736, 596, 1737, 598, 1738, 600, 1739, 602, 1740, 604, 1741 ], "RE": [ "1" ], "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 431 ], "WDATA": [ 1202, "x", 1200, "x", 1198, "x", 1196, "x", 1194, "x", 1192, "x", 1190, "x", 1188, "x" ], "WE": [ "1" ] } }, "right.stage_four.delayline.mem.2.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 606, 1742, 608, 1743, 610, 1744, 612, 1745, 614, 1746, 616, 1747, 618, 1748, 620, 1749 ], "RE": [ "1" ], "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 431 ], "WDATA": [ 1186, "x", 1184, "x", 1182, "x", 1180, "x", 1178, "x", 1176, "x", 1174, "x", 1463, "x" ], "WE": [ "1" ] } }, "right.stage_one.delayline.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 570, 1750, 568, 1751, 574, 1752, 572, 1753, 544, 1754, 542, 1755, 540, 1756, 566, 1757 ], "RE": [ "1" ], "WADDR": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 413 ], "WDATA": [ 681, "x", 679, "x", 677, "x", 675, "x", 673, "x", 671, "x", 669, "x", 667, "x" ], "WE": [ "1" ] } }, "right.stage_one.delayline.mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 564, 1758, 562, 1759, 560, 1760, 558, 1761, 556, 1762, 580, 1763, 578, 1764, 576, 1765 ], "RE": [ "1" ], "WADDR": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 413 ], "WDATA": [ 665, "x", 663, "x", 661, "x", 659, "x", 657, "x", 655, "x", 653, "x", 728, "x" ], "WE": [ "1" ] } }, "right.stage_three.delayline.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 508, 1766, 502, 1767, 546, 1768, 504, 1769, 506, 1770, 548, 1771, 520, 1772, 518, 1773 ], "RE": [ "1" ], "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 425 ], "WDATA": [ 1326, "x", 1324, "x", 1322, "x", 1320, "x", 1318, "x", 1316, "x", 1314, "x", 1312, "x" ], "WE": [ "1" ] } }, "right.stage_three.delayline.mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 516, 1774, 514, 1775, 512, 1776, 510, 1777, 532, 1778, 530, 1779, 528, 1780, 538, 1781 ], "RE": [ "1" ], "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 425 ], "WDATA": [ 1310, "x", 1308, "x", 1306, "x", 1304, "x", 1302, "x", 1300, "x", 1298, "x", 1296, "x" ], "WE": [ "1" ] } }, "right.stage_three.delayline.mem.2.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 536, 1782, 534, 1783, 526, 1784, 524, 1785, 522, 1786, 554, 1787, 552, 1788, 550, 1789 ], "RE": [ "1" ], "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 425 ], "WDATA": [ 1294, "x", 1292, "x", 1290, "x", 1288, "x", 1286, "x", 1284, "x", 1282, "x", 1470, "x" ], "WE": [ "1" ] } }, "right.stage_two.delayline.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 636, 1790, 630, 1791, 638, 1792, 628, 1793, 626, 1794, 632, 1795, 634, 1796, 462, 1797 ], "RE": [ "1" ], "WADDR": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 419 ], "WDATA": [ 1402, "x", 1400, "x", 1398, "x", 1396, "x", 1394, "x", 1392, "x", 1390, "x", 1388, "x" ], "WE": [ "1" ] } }, "right.stage_two.delayline.mem.1.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 1, "WRITE_MODE": 1 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "RADDR": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474, "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 464, 1798, 466, 1799, 468, 1800, 492, 1801, 494, 1802, 496, 1803, 498, 1804, 500, 1805 ], "RE": [ "1" ], "WADDR": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475, "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 419 ], "WDATA": [ 1386, "x", 1384, "x", 1382, "x", 1380, "x", 1378, "x", 1376, "x", 1374, "x", 1456, "x" ], "WE": [ "1" ] } }, "rightd.older.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 0, "WRITE_MODE": 0 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649 ], "RADDR": [ 1012, 1010, 1009, 1007, 1477, "0", "0", "0", "0", "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478 ], "RE": [ "1" ], "WADDR": [ 448, 447, 1014, 1013, 1478, "0", "0", "0", "0", "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ 648 ], "WDATA": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064 ], "WE": [ "1" ] } }, "rightd2.older.mem.0.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": 0, "WRITE_MODE": 0 }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ], "RADDR": [ 1005, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RCLK": [ 920 ], "RCLKE": [ "1" ], "RDATA": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820 ], "RE": [ "1" ], "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "WCLK": [ 920 ], "WCLKE": [ "0" ], "WDATA": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421 ], "WE": [ "1" ] } }, "sb_io_bclk": { "hide_name": 0, "type": "SB_GB_IO", "parameters": { "PIN_TYPE": 0 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:9" }, "port_directions": { "GLOBAL_BUFFER_OUTPUT": "output", "PACKAGE_PIN": "inout" }, "connections": { "GLOBAL_BUFFER_OUTPUT": [ 650 ], "PACKAGE_PIN": [ 2 ] } }, "sb_io_lrclk": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 0 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:15" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 403 ], "INPUT_CLK": [ 650 ], "PACKAGE_PIN": [ 3 ] } }, "sb_io_sdin": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": 0 }, "attributes": { "module_not_derived": 1, "src": "hx8kbb.v:23" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 726 ], "INPUT_CLK": [ 650 ], "PACKAGE_PIN": [ 4 ] } } }, "netnames": { "$abc$12689$n0": { "hide_name": 1, "bits": [ 450 ], "attributes": { } }, "$abc$12689$n1": { "hide_name": 1, "bits": [ 451 ], "attributes": { } }, "$abc$12689$n10": { "hide_name": 1, "bits": [ 13 ], "attributes": { } }, "$abc$12689$n100": { "hide_name": 1, "bits": [ 103 ], "attributes": { } }, "$abc$12689$n1002": { "hide_name": 1, "bits": [ 463 ], "attributes": { } }, "$abc$12689$n1004": { "hide_name": 1, "bits": [ 465 ], "attributes": { } }, "$abc$12689$n1006": { "hide_name": 1, "bits": [ 467 ], "attributes": { } }, "$abc$12689$n1008": { "hide_name": 1, "bits": [ 469 ], "attributes": { } }, "$abc$12689$n101": { "hide_name": 1, "bits": [ 104 ], "attributes": { } }, "$abc$12689$n102": { "hide_name": 1, "bits": [ 105 ], "attributes": { } }, "$abc$12689$n103": { "hide_name": 1, "bits": [ 106 ], "attributes": { } }, "$abc$12689$n1032": { "hide_name": 1, "bits": [ 471 ], "attributes": { } }, "$abc$12689$n104": { "hide_name": 1, "bits": [ 107 ], "attributes": { } }, "$abc$12689$n105": { "hide_name": 1, "bits": [ 108 ], "attributes": { } }, "$abc$12689$n106": { "hide_name": 1, "bits": [ 109 ], "attributes": { } }, "$abc$12689$n1064": { "hide_name": 1, "bits": [ 473 ], "attributes": { } }, "$abc$12689$n107": { "hide_name": 1, "bits": [ 110 ], "attributes": { } }, "$abc$12689$n1074": { "hide_name": 1, "bits": [ 475 ], "attributes": { } }, "$abc$12689$n1076": { "hide_name": 1, "bits": [ 477 ], "attributes": { } }, "$abc$12689$n108": { "hide_name": 1, "bits": [ 111 ], "attributes": { } }, "$abc$12689$n1080": { "hide_name": 1, "bits": [ 479 ], "attributes": { } }, "$abc$12689$n1086": { "hide_name": 1, "bits": [ 481 ], "attributes": { } }, "$abc$12689$n1088": { "hide_name": 1, "bits": [ 483 ], "attributes": { } }, "$abc$12689$n109": { "hide_name": 1, "bits": [ 112 ], "attributes": { } }, "$abc$12689$n1092": { "hide_name": 1, "bits": [ 485 ], "attributes": { } }, "$abc$12689$n1094": { "hide_name": 1, "bits": [ 487 ], "attributes": { } }, "$abc$12689$n1096": { "hide_name": 1, "bits": [ 489 ], "attributes": { } }, "$abc$12689$n11": { "hide_name": 1, "bits": [ 14 ], "attributes": { } }, "$abc$12689$n110": { "hide_name": 1, "bits": [ 113 ], "attributes": { } }, "$abc$12689$n1100": { "hide_name": 1, "bits": [ 491 ], "attributes": { } }, "$abc$12689$n1102": { "hide_name": 1, "bits": [ 493 ], "attributes": { } }, "$abc$12689$n1104": { "hide_name": 1, "bits": [ 495 ], "attributes": { } }, "$abc$12689$n1106": { "hide_name": 1, "bits": [ 497 ], "attributes": { } }, "$abc$12689$n1108": { "hide_name": 1, "bits": [ 499 ], "attributes": { } }, "$abc$12689$n111": { "hide_name": 1, "bits": [ 114 ], "attributes": { } }, "$abc$12689$n1110": { "hide_name": 1, "bits": [ 501 ], "attributes": { } }, "$abc$12689$n1112": { "hide_name": 1, "bits": [ 503 ], "attributes": { } }, "$abc$12689$n1114": { "hide_name": 1, "bits": [ 505 ], "attributes": { } }, "$abc$12689$n1116": { "hide_name": 1, "bits": [ 507 ], "attributes": { } }, "$abc$12689$n1118": { "hide_name": 1, "bits": [ 509 ], "attributes": { } }, "$abc$12689$n112": { "hide_name": 1, "bits": [ 115 ], "attributes": { } }, "$abc$12689$n1120": { "hide_name": 1, "bits": [ 511 ], "attributes": { } }, "$abc$12689$n1122": { "hide_name": 1, "bits": [ 513 ], "attributes": { } }, "$abc$12689$n1124": { "hide_name": 1, "bits": [ 515 ], "attributes": { } }, "$abc$12689$n1126": { "hide_name": 1, "bits": [ 517 ], "attributes": { } }, "$abc$12689$n1128": { "hide_name": 1, "bits": [ 519 ], "attributes": { } }, "$abc$12689$n113": { "hide_name": 1, "bits": [ 116 ], "attributes": { } }, "$abc$12689$n1130": { "hide_name": 1, "bits": [ 521 ], "attributes": { } }, "$abc$12689$n1132": { "hide_name": 1, "bits": [ 523 ], "attributes": { } }, "$abc$12689$n1134": { "hide_name": 1, "bits": [ 525 ], "attributes": { } }, "$abc$12689$n1136": { "hide_name": 1, "bits": [ 527 ], "attributes": { } }, "$abc$12689$n1138": { "hide_name": 1, "bits": [ 529 ], "attributes": { } }, "$abc$12689$n114": { "hide_name": 1, "bits": [ 117 ], "attributes": { } }, "$abc$12689$n1140": { "hide_name": 1, "bits": [ 531 ], "attributes": { } }, "$abc$12689$n1142": { "hide_name": 1, "bits": [ 533 ], "attributes": { } }, "$abc$12689$n1144": { "hide_name": 1, "bits": [ 535 ], "attributes": { } }, "$abc$12689$n1146": { "hide_name": 1, "bits": [ 537 ], "attributes": { } }, "$abc$12689$n1148": { "hide_name": 1, "bits": [ 539 ], "attributes": { } }, "$abc$12689$n115": { "hide_name": 1, "bits": [ 118 ], "attributes": { } }, "$abc$12689$n1150": { "hide_name": 1, "bits": [ 541 ], "attributes": { } }, "$abc$12689$n1152": { "hide_name": 1, "bits": [ 543 ], "attributes": { } }, "$abc$12689$n1154": { "hide_name": 1, "bits": [ 545 ], "attributes": { } }, "$abc$12689$n1156": { "hide_name": 1, "bits": [ 547 ], "attributes": { } }, "$abc$12689$n1158": { "hide_name": 1, "bits": [ 549 ], "attributes": { } }, "$abc$12689$n116": { "hide_name": 1, "bits": [ 119 ], "attributes": { } }, "$abc$12689$n1160": { "hide_name": 1, "bits": [ 551 ], "attributes": { } }, "$abc$12689$n1162": { "hide_name": 1, "bits": [ 553 ], "attributes": { } }, "$abc$12689$n1164": { "hide_name": 1, "bits": [ 555 ], "attributes": { } }, "$abc$12689$n1166": { "hide_name": 1, "bits": [ 557 ], "attributes": { } }, "$abc$12689$n1168": { "hide_name": 1, "bits": [ 559 ], "attributes": { } }, "$abc$12689$n117": { "hide_name": 1, "bits": [ 120 ], "attributes": { } }, "$abc$12689$n1170": { "hide_name": 1, "bits": [ 561 ], "attributes": { } }, "$abc$12689$n1172": { "hide_name": 1, "bits": [ 563 ], "attributes": { } }, "$abc$12689$n1174": { "hide_name": 1, "bits": [ 565 ], "attributes": { } }, "$abc$12689$n1176": { "hide_name": 1, "bits": [ 567 ], "attributes": { } }, "$abc$12689$n1178": { "hide_name": 1, "bits": [ 569 ], "attributes": { } }, "$abc$12689$n118": { "hide_name": 1, "bits": [ 121 ], "attributes": { } }, "$abc$12689$n1180": { "hide_name": 1, "bits": [ 571 ], "attributes": { } }, "$abc$12689$n1182": { "hide_name": 1, "bits": [ 573 ], "attributes": { } }, "$abc$12689$n1184": { "hide_name": 1, "bits": [ 575 ], "attributes": { } }, "$abc$12689$n1186": { "hide_name": 1, "bits": [ 577 ], "attributes": { } }, "$abc$12689$n1188": { "hide_name": 1, "bits": [ 579 ], "attributes": { } }, "$abc$12689$n119": { "hide_name": 1, "bits": [ 122 ], "attributes": { } }, "$abc$12689$n1190": { "hide_name": 1, "bits": [ 581 ], "attributes": { } }, "$abc$12689$n1192": { "hide_name": 1, "bits": [ 583 ], "attributes": { } }, "$abc$12689$n1194": { "hide_name": 1, "bits": [ 585 ], "attributes": { } }, "$abc$12689$n1196": { "hide_name": 1, "bits": [ 587 ], "attributes": { } }, "$abc$12689$n1198": { "hide_name": 1, "bits": [ 589 ], "attributes": { } }, "$abc$12689$n12": { "hide_name": 1, "bits": [ 15 ], "attributes": { } }, "$abc$12689$n120": { "hide_name": 1, "bits": [ 123 ], "attributes": { } }, "$abc$12689$n1200": { "hide_name": 1, "bits": [ 591 ], "attributes": { } }, "$abc$12689$n1202": { "hide_name": 1, "bits": [ 593 ], "attributes": { } }, "$abc$12689$n1204": { "hide_name": 1, "bits": [ 595 ], "attributes": { } }, "$abc$12689$n1206": { "hide_name": 1, "bits": [ 597 ], "attributes": { } }, "$abc$12689$n1208": { "hide_name": 1, "bits": [ 599 ], "attributes": { } }, "$abc$12689$n121": { "hide_name": 1, "bits": [ 124 ], "attributes": { } }, "$abc$12689$n1210": { "hide_name": 1, "bits": [ 601 ], "attributes": { } }, "$abc$12689$n1212": { "hide_name": 1, "bits": [ 603 ], "attributes": { } }, "$abc$12689$n1214": { "hide_name": 1, "bits": [ 605 ], "attributes": { } }, "$abc$12689$n1216": { "hide_name": 1, "bits": [ 607 ], "attributes": { } }, "$abc$12689$n1218": { "hide_name": 1, "bits": [ 609 ], "attributes": { } }, "$abc$12689$n122": { "hide_name": 1, "bits": [ 125 ], "attributes": { } }, "$abc$12689$n1220": { "hide_name": 1, "bits": [ 611 ], "attributes": { } }, "$abc$12689$n1222": { "hide_name": 1, "bits": [ 613 ], "attributes": { } }, "$abc$12689$n1224": { "hide_name": 1, "bits": [ 615 ], "attributes": { } }, "$abc$12689$n1226": { "hide_name": 1, "bits": [ 617 ], "attributes": { } }, "$abc$12689$n1228": { "hide_name": 1, "bits": [ 619 ], "attributes": { } }, "$abc$12689$n123": { "hide_name": 1, "bits": [ 126 ], "attributes": { } }, "$abc$12689$n1230": { "hide_name": 1, "bits": [ 621 ], "attributes": { } }, "$abc$12689$n124": { "hide_name": 1, "bits": [ 127 ], "attributes": { } }, "$abc$12689$n125": { "hide_name": 1, "bits": [ 128 ], "attributes": { } }, "$abc$12689$n126": { "hide_name": 1, "bits": [ 129 ], "attributes": { } }, "$abc$12689$n127": { "hide_name": 1, "bits": [ 130 ], "attributes": { } }, "$abc$12689$n128": { "hide_name": 1, "bits": [ 131 ], "attributes": { } }, "$abc$12689$n129": { "hide_name": 1, "bits": [ 132 ], "attributes": { } }, "$abc$12689$n13": { "hide_name": 1, "bits": [ 16 ], "attributes": { } }, "$abc$12689$n130": { "hide_name": 1, "bits": [ 133 ], "attributes": { } }, "$abc$12689$n131": { "hide_name": 1, "bits": [ 134 ], "attributes": { } }, "$abc$12689$n132": { "hide_name": 1, "bits": [ 135 ], "attributes": { } }, "$abc$12689$n133": { "hide_name": 1, "bits": [ 136 ], "attributes": { } }, "$abc$12689$n134": { "hide_name": 1, "bits": [ 137 ], "attributes": { } }, "$abc$12689$n135": { "hide_name": 1, "bits": [ 138 ], "attributes": { } }, "$abc$12689$n136": { "hide_name": 1, "bits": [ 139 ], "attributes": { } }, "$abc$12689$n137": { "hide_name": 1, "bits": [ 140 ], "attributes": { } }, "$abc$12689$n138": { "hide_name": 1, "bits": [ 141 ], "attributes": { } }, "$abc$12689$n139": { "hide_name": 1, "bits": [ 142 ], "attributes": { } }, "$abc$12689$n14": { "hide_name": 1, "bits": [ 17 ], "attributes": { } }, "$abc$12689$n140": { "hide_name": 1, "bits": [ 143 ], "attributes": { } }, "$abc$12689$n141": { "hide_name": 1, "bits": [ 144 ], "attributes": { } }, "$abc$12689$n142": { "hide_name": 1, "bits": [ 145 ], "attributes": { } }, "$abc$12689$n143": { "hide_name": 1, "bits": [ 146 ], "attributes": { } }, "$abc$12689$n144": { "hide_name": 1, "bits": [ 147 ], "attributes": { } }, "$abc$12689$n145": { "hide_name": 1, "bits": [ 148 ], "attributes": { } }, "$abc$12689$n146": { "hide_name": 1, "bits": [ 149 ], "attributes": { } }, "$abc$12689$n1460": { "hide_name": 1, "bits": [ 623 ], "attributes": { } }, "$abc$12689$n1462": { "hide_name": 1, "bits": [ 625 ], "attributes": { } }, "$abc$12689$n147": { "hide_name": 1, "bits": [ 150 ], "attributes": { } }, "$abc$12689$n1478": { "hide_name": 1, "bits": [ 627 ], "attributes": { } }, "$abc$12689$n148": { "hide_name": 1, "bits": [ 151 ], "attributes": { } }, "$abc$12689$n1480": { "hide_name": 1, "bits": [ 629 ], "attributes": { } }, "$abc$12689$n1482": { "hide_name": 1, "bits": [ 631 ], "attributes": { } }, "$abc$12689$n1484": { "hide_name": 1, "bits": [ 633 ], "attributes": { } }, "$abc$12689$n1486": { "hide_name": 1, "bits": [ 635 ], "attributes": { } }, "$abc$12689$n1488": { "hide_name": 1, "bits": [ 637 ], "attributes": { } }, "$abc$12689$n149": { "hide_name": 1, "bits": [ 152 ], "attributes": { } }, "$abc$12689$n1490": { "hide_name": 1, "bits": [ 639 ], "attributes": { } }, "$abc$12689$n15": { "hide_name": 1, "bits": [ 18 ], "attributes": { } }, "$abc$12689$n150": { "hide_name": 1, "bits": [ 153 ], "attributes": { } }, "$abc$12689$n1500": { "hide_name": 1, "bits": [ 641 ], "attributes": { } }, "$abc$12689$n1502": { "hide_name": 1, "bits": [ 643 ], "attributes": { } }, "$abc$12689$n1504": { "hide_name": 1, "bits": [ 645 ], "attributes": { } }, "$abc$12689$n1506": { "hide_name": 1, "bits": [ 647 ], "attributes": { } }, "$abc$12689$n151": { "hide_name": 1, "bits": [ 154 ], "attributes": { } }, "$abc$12689$n152": { "hide_name": 1, "bits": [ 155 ], "attributes": { } }, "$abc$12689$n153": { "hide_name": 1, "bits": [ 156 ], "attributes": { } }, "$abc$12689$n154": { "hide_name": 1, "bits": [ 157 ], "attributes": { } }, "$abc$12689$n155": { "hide_name": 1, "bits": [ 158 ], "attributes": { } }, "$abc$12689$n156": { "hide_name": 1, "bits": [ 159 ], "attributes": { } }, "$abc$12689$n1560": { "hide_name": 1, "bits": [ 649 ], "attributes": { } }, "$abc$12689$n1561": { "hide_name": 1, "bits": [ 410 ], "attributes": { } }, "$abc$12689$n1563": { "hide_name": 1, "bits": [ 412 ], "attributes": { } }, "$abc$12689$n1565": { "hide_name": 1, "bits": [ 414 ], "attributes": { } }, "$abc$12689$n1566": { "hide_name": 1, "bits": [ 415 ], "attributes": { } }, "$abc$12689$n1567": { "hide_name": 1, "bits": [ 416 ], "attributes": { } }, "$abc$12689$n1568": { "hide_name": 1, "bits": [ 417 ], "attributes": { } }, "$abc$12689$n1569": { "hide_name": 1, "bits": [ 418 ], "attributes": { } }, "$abc$12689$n157": { "hide_name": 1, "bits": [ 160 ], "attributes": { } }, "$abc$12689$n1571": { "hide_name": 1, "bits": [ 420 ], "attributes": { } }, "$abc$12689$n1572": { "hide_name": 1, "bits": [ 421 ], "attributes": { } }, "$abc$12689$n1573": { "hide_name": 1, "bits": [ 422 ], "attributes": { } }, "$abc$12689$n1574": { "hide_name": 1, "bits": [ 423 ], "attributes": { } }, "$abc$12689$n1575": { "hide_name": 1, "bits": [ 424 ], "attributes": { } }, "$abc$12689$n1577": { "hide_name": 1, "bits": [ 426 ], "attributes": { } }, "$abc$12689$n1578": { "hide_name": 1, "bits": [ 427 ], "attributes": { } }, "$abc$12689$n1579": { "hide_name": 1, "bits": [ 428 ], "attributes": { } }, "$abc$12689$n158": { "hide_name": 1, "bits": [ 161 ], "attributes": { } }, "$abc$12689$n1580": { "hide_name": 1, "bits": [ 429 ], "attributes": { } }, "$abc$12689$n1581": { "hide_name": 1, "bits": [ 430 ], "attributes": { } }, "$abc$12689$n1583": { "hide_name": 1, "bits": [ 432 ], "attributes": { } }, "$abc$12689$n1584": { "hide_name": 1, "bits": [ 433 ], "attributes": { } }, "$abc$12689$n1585": { "hide_name": 1, "bits": [ 434 ], "attributes": { } }, "$abc$12689$n159": { "hide_name": 1, "bits": [ 162 ], "attributes": { } }, "$abc$12689$n16": { "hide_name": 1, "bits": [ 19 ], "attributes": { } }, "$abc$12689$n160": { "hide_name": 1, "bits": [ 163 ], "attributes": { } }, "$abc$12689$n1609": { "hide_name": 1, "bits": [ 437 ], "attributes": { } }, "$abc$12689$n161": { "hide_name": 1, "bits": [ 164 ], "attributes": { } }, "$abc$12689$n1613": { "hide_name": 1, "bits": [ 440 ], "attributes": { } }, "$abc$12689$n1617": { "hide_name": 1, "bits": [ 443 ], "attributes": { } }, "$abc$12689$n162": { "hide_name": 1, "bits": [ 165 ], "attributes": { } }, "$abc$12689$n1621": { "hide_name": 1, "bits": [ 446 ], "attributes": { } }, "$abc$12689$n1625": { "hide_name": 1, "bits": [ 449 ], "attributes": { } }, "$abc$12689$n163": { "hide_name": 1, "bits": [ 166 ], "attributes": { } }, "$abc$12689$n164": { "hide_name": 1, "bits": [ 167 ], "attributes": { } }, "$abc$12689$n165": { "hide_name": 1, "bits": [ 168 ], "attributes": { } }, "$abc$12689$n166": { "hide_name": 1, "bits": [ 169 ], "attributes": { } }, "$abc$12689$n167": { "hide_name": 1, "bits": [ 170 ], "attributes": { } }, "$abc$12689$n168": { "hide_name": 1, "bits": [ 171 ], "attributes": { } }, "$abc$12689$n169": { "hide_name": 1, "bits": [ 172 ], "attributes": { } }, "$abc$12689$n17": { "hide_name": 1, "bits": [ 20 ], "attributes": { } }, "$abc$12689$n170": { "hide_name": 1, "bits": [ 173 ], "attributes": { } }, "$abc$12689$n171": { "hide_name": 1, "bits": [ 174 ], "attributes": { } }, "$abc$12689$n172": { "hide_name": 1, "bits": [ 175 ], "attributes": { } }, "$abc$12689$n173": { "hide_name": 1, "bits": [ 176 ], "attributes": { } }, "$abc$12689$n174": { "hide_name": 1, "bits": [ 177 ], "attributes": { } }, "$abc$12689$n175": { "hide_name": 1, "bits": [ 178 ], "attributes": { } }, "$abc$12689$n176": { "hide_name": 1, "bits": [ 179 ], "attributes": { } }, "$abc$12689$n177": { "hide_name": 1, "bits": [ 180 ], "attributes": { } }, "$abc$12689$n178": { "hide_name": 1, "bits": [ 181 ], "attributes": { } }, "$abc$12689$n179": { "hide_name": 1, "bits": [ 182 ], "attributes": { } }, "$abc$12689$n18": { "hide_name": 1, "bits": [ 21 ], "attributes": { } }, "$abc$12689$n180": { "hide_name": 1, "bits": [ 183 ], "attributes": { } }, "$abc$12689$n181": { "hide_name": 1, "bits": [ 184 ], "attributes": { } }, "$abc$12689$n182": { "hide_name": 1, "bits": [ 185 ], "attributes": { } }, "$abc$12689$n183": { "hide_name": 1, "bits": [ 186 ], "attributes": { } }, "$abc$12689$n184": { "hide_name": 1, "bits": [ 187 ], "attributes": { } }, "$abc$12689$n185": { "hide_name": 1, "bits": [ 188 ], "attributes": { } }, "$abc$12689$n186": { "hide_name": 1, "bits": [ 189 ], "attributes": { } }, "$abc$12689$n187": { "hide_name": 1, "bits": [ 190 ], "attributes": { } }, "$abc$12689$n188": { "hide_name": 1, "bits": [ 191 ], "attributes": { } }, "$abc$12689$n189": { "hide_name": 1, "bits": [ 192 ], "attributes": { } }, "$abc$12689$n19": { "hide_name": 1, "bits": [ 22 ], "attributes": { } }, "$abc$12689$n190": { "hide_name": 1, "bits": [ 193 ], "attributes": { } }, "$abc$12689$n191": { "hide_name": 1, "bits": [ 194 ], "attributes": { } }, "$abc$12689$n192": { "hide_name": 1, "bits": [ 195 ], "attributes": { } }, "$abc$12689$n193": { "hide_name": 1, "bits": [ 196 ], "attributes": { } }, "$abc$12689$n194": { "hide_name": 1, "bits": [ 197 ], "attributes": { } }, "$abc$12689$n195": { "hide_name": 1, "bits": [ 198 ], "attributes": { } }, "$abc$12689$n196": { "hide_name": 1, "bits": [ 199 ], "attributes": { } }, "$abc$12689$n197": { "hide_name": 1, "bits": [ 200 ], "attributes": { } }, "$abc$12689$n198": { "hide_name": 1, "bits": [ 201 ], "attributes": { } }, "$abc$12689$n199": { "hide_name": 1, "bits": [ 202 ], "attributes": { } }, "$abc$12689$n2": { "hide_name": 1, "bits": [ 452 ], "attributes": { } }, "$abc$12689$n20": { "hide_name": 1, "bits": [ 23 ], "attributes": { } }, "$abc$12689$n200": { "hide_name": 1, "bits": [ 203 ], "attributes": { } }, "$abc$12689$n201": { "hide_name": 1, "bits": [ 204 ], "attributes": { } }, "$abc$12689$n202": { "hide_name": 1, "bits": [ 205 ], "attributes": { } }, "$abc$12689$n203": { "hide_name": 1, "bits": [ 206 ], "attributes": { } }, "$abc$12689$n204": { "hide_name": 1, "bits": [ 207 ], "attributes": { } }, "$abc$12689$n205": { "hide_name": 1, "bits": [ 208 ], "attributes": { } }, "$abc$12689$n206": { "hide_name": 1, "bits": [ 209 ], "attributes": { } }, "$abc$12689$n207": { "hide_name": 1, "bits": [ 210 ], "attributes": { } }, "$abc$12689$n208": { "hide_name": 1, "bits": [ 211 ], "attributes": { } }, "$abc$12689$n209": { "hide_name": 1, "bits": [ 212 ], "attributes": { } }, "$abc$12689$n21": { "hide_name": 1, "bits": [ 24 ], "attributes": { } }, "$abc$12689$n210": { "hide_name": 1, "bits": [ 213 ], "attributes": { } }, "$abc$12689$n211": { "hide_name": 1, "bits": [ 214 ], "attributes": { } }, "$abc$12689$n212": { "hide_name": 1, "bits": [ 215 ], "attributes": { } }, "$abc$12689$n213": { "hide_name": 1, "bits": [ 216 ], "attributes": { } }, "$abc$12689$n214": { "hide_name": 1, "bits": [ 217 ], "attributes": { } }, "$abc$12689$n215": { "hide_name": 1, "bits": [ 218 ], "attributes": { } }, "$abc$12689$n216": { "hide_name": 1, "bits": [ 219 ], "attributes": { } }, "$abc$12689$n217": { "hide_name": 1, "bits": [ 220 ], "attributes": { } }, "$abc$12689$n218": { "hide_name": 1, "bits": [ 221 ], "attributes": { } }, "$abc$12689$n219": { "hide_name": 1, "bits": [ 222 ], "attributes": { } }, "$abc$12689$n22": { "hide_name": 1, "bits": [ 25 ], "attributes": { } }, "$abc$12689$n220": { "hide_name": 1, "bits": [ 223 ], "attributes": { } }, "$abc$12689$n221": { "hide_name": 1, "bits": [ 224 ], "attributes": { } }, "$abc$12689$n222": { "hide_name": 1, "bits": [ 225 ], "attributes": { } }, "$abc$12689$n223": { "hide_name": 1, "bits": [ 226 ], "attributes": { } }, "$abc$12689$n224": { "hide_name": 1, "bits": [ 227 ], "attributes": { } }, "$abc$12689$n225": { "hide_name": 1, "bits": [ 228 ], "attributes": { } }, "$abc$12689$n226": { "hide_name": 1, "bits": [ 229 ], "attributes": { } }, "$abc$12689$n227": { "hide_name": 1, "bits": [ 230 ], "attributes": { } }, "$abc$12689$n228": { "hide_name": 1, "bits": [ 231 ], "attributes": { } }, "$abc$12689$n229": { "hide_name": 1, "bits": [ 232 ], "attributes": { } }, "$abc$12689$n23": { "hide_name": 1, "bits": [ 26 ], "attributes": { } }, "$abc$12689$n230": { "hide_name": 1, "bits": [ 233 ], "attributes": { } }, "$abc$12689$n231": { "hide_name": 1, "bits": [ 234 ], "attributes": { } }, "$abc$12689$n232": { "hide_name": 1, "bits": [ 235 ], "attributes": { } }, "$abc$12689$n233": { "hide_name": 1, "bits": [ 236 ], "attributes": { } }, "$abc$12689$n234": { "hide_name": 1, "bits": [ 237 ], "attributes": { } }, "$abc$12689$n235": { "hide_name": 1, "bits": [ 238 ], "attributes": { } }, "$abc$12689$n236": { "hide_name": 1, "bits": [ 239 ], "attributes": { } }, "$abc$12689$n237": { "hide_name": 1, "bits": [ 240 ], "attributes": { } }, "$abc$12689$n238": { "hide_name": 1, "bits": [ 241 ], "attributes": { } }, "$abc$12689$n239": { "hide_name": 1, "bits": [ 242 ], "attributes": { } }, "$abc$12689$n24": { "hide_name": 1, "bits": [ 27 ], "attributes": { } }, "$abc$12689$n240": { "hide_name": 1, "bits": [ 243 ], "attributes": { } }, "$abc$12689$n241": { "hide_name": 1, "bits": [ 244 ], "attributes": { } }, "$abc$12689$n242": { "hide_name": 1, "bits": [ 245 ], "attributes": { } }, "$abc$12689$n243": { "hide_name": 1, "bits": [ 246 ], "attributes": { } }, "$abc$12689$n244": { "hide_name": 1, "bits": [ 247 ], "attributes": { } }, "$abc$12689$n245": { "hide_name": 1, "bits": [ 248 ], "attributes": { } }, "$abc$12689$n246": { "hide_name": 1, "bits": [ 249 ], "attributes": { } }, "$abc$12689$n247": { "hide_name": 1, "bits": [ 250 ], "attributes": { } }, "$abc$12689$n248": { "hide_name": 1, "bits": [ 251 ], "attributes": { } }, "$abc$12689$n249": { "hide_name": 1, "bits": [ 252 ], "attributes": { } }, "$abc$12689$n25": { "hide_name": 1, "bits": [ 28 ], "attributes": { } }, "$abc$12689$n250": { "hide_name": 1, "bits": [ 253 ], "attributes": { } }, "$abc$12689$n251": { "hide_name": 1, "bits": [ 254 ], "attributes": { } }, "$abc$12689$n252": { "hide_name": 1, "bits": [ 255 ], "attributes": { } }, "$abc$12689$n253": { "hide_name": 1, "bits": [ 256 ], "attributes": { } }, "$abc$12689$n254": { "hide_name": 1, "bits": [ 257 ], "attributes": { } }, "$abc$12689$n255": { "hide_name": 1, "bits": [ 258 ], "attributes": { } }, "$abc$12689$n256": { "hide_name": 1, "bits": [ 259 ], "attributes": { } }, "$abc$12689$n257": { "hide_name": 1, "bits": [ 260 ], "attributes": { } }, "$abc$12689$n258": { "hide_name": 1, "bits": [ 261 ], "attributes": { } }, "$abc$12689$n259": { "hide_name": 1, "bits": [ 262 ], "attributes": { } }, "$abc$12689$n26": { "hide_name": 1, "bits": [ 29 ], "attributes": { } }, "$abc$12689$n260": { "hide_name": 1, "bits": [ 263 ], "attributes": { } }, "$abc$12689$n261": { "hide_name": 1, "bits": [ 264 ], "attributes": { } }, "$abc$12689$n262": { "hide_name": 1, "bits": [ 265 ], "attributes": { } }, "$abc$12689$n263": { "hide_name": 1, "bits": [ 266 ], "attributes": { } }, "$abc$12689$n264": { "hide_name": 1, "bits": [ 267 ], "attributes": { } }, "$abc$12689$n265": { "hide_name": 1, "bits": [ 268 ], "attributes": { } }, "$abc$12689$n266": { "hide_name": 1, "bits": [ 269 ], "attributes": { } }, "$abc$12689$n267": { "hide_name": 1, "bits": [ 270 ], "attributes": { } }, "$abc$12689$n268": { "hide_name": 1, "bits": [ 271 ], "attributes": { } }, "$abc$12689$n269": { "hide_name": 1, "bits": [ 272 ], "attributes": { } }, "$abc$12689$n27": { "hide_name": 1, "bits": [ 30 ], "attributes": { } }, "$abc$12689$n270": { "hide_name": 1, "bits": [ 273 ], "attributes": { } }, "$abc$12689$n271": { "hide_name": 1, "bits": [ 274 ], "attributes": { } }, "$abc$12689$n272": { "hide_name": 1, "bits": [ 275 ], "attributes": { } }, "$abc$12689$n273": { "hide_name": 1, "bits": [ 276 ], "attributes": { } }, "$abc$12689$n274": { "hide_name": 1, "bits": [ 277 ], "attributes": { } }, "$abc$12689$n275": { "hide_name": 1, "bits": [ 278 ], "attributes": { } }, "$abc$12689$n276": { "hide_name": 1, "bits": [ 279 ], "attributes": { } }, "$abc$12689$n277": { "hide_name": 1, "bits": [ 280 ], "attributes": { } }, "$abc$12689$n278": { "hide_name": 1, "bits": [ 281 ], "attributes": { } }, "$abc$12689$n279": { "hide_name": 1, "bits": [ 282 ], "attributes": { } }, "$abc$12689$n28": { "hide_name": 1, "bits": [ 31 ], "attributes": { } }, "$abc$12689$n280": { "hide_name": 1, "bits": [ 283 ], "attributes": { } }, "$abc$12689$n281": { "hide_name": 1, "bits": [ 284 ], "attributes": { } }, "$abc$12689$n282": { "hide_name": 1, "bits": [ 285 ], "attributes": { } }, "$abc$12689$n283": { "hide_name": 1, "bits": [ 286 ], "attributes": { } }, "$abc$12689$n284": { "hide_name": 1, "bits": [ 287 ], "attributes": { } }, "$abc$12689$n285": { "hide_name": 1, "bits": [ 288 ], "attributes": { } }, "$abc$12689$n286": { "hide_name": 1, "bits": [ 289 ], "attributes": { } }, "$abc$12689$n287": { "hide_name": 1, "bits": [ 290 ], "attributes": { } }, "$abc$12689$n288": { "hide_name": 1, "bits": [ 291 ], "attributes": { } }, "$abc$12689$n289": { "hide_name": 1, "bits": [ 292 ], "attributes": { } }, "$abc$12689$n29": { "hide_name": 1, "bits": [ 32 ], "attributes": { } }, "$abc$12689$n290": { "hide_name": 1, "bits": [ 293 ], "attributes": { } }, "$abc$12689$n291": { "hide_name": 1, "bits": [ 294 ], "attributes": { } }, "$abc$12689$n292": { "hide_name": 1, "bits": [ 295 ], "attributes": { } }, "$abc$12689$n293": { "hide_name": 1, "bits": [ 296 ], "attributes": { } }, "$abc$12689$n294": { "hide_name": 1, "bits": [ 297 ], "attributes": { } }, "$abc$12689$n295": { "hide_name": 1, "bits": [ 298 ], "attributes": { } }, "$abc$12689$n296": { "hide_name": 1, "bits": [ 299 ], "attributes": { } }, "$abc$12689$n297": { "hide_name": 1, "bits": [ 300 ], "attributes": { } }, "$abc$12689$n298": { "hide_name": 1, "bits": [ 301 ], "attributes": { } }, "$abc$12689$n299": { "hide_name": 1, "bits": [ 302 ], "attributes": { } }, "$abc$12689$n3": { "hide_name": 1, "bits": [ 453 ], "attributes": { } }, "$abc$12689$n30": { "hide_name": 1, "bits": [ 33 ], "attributes": { } }, "$abc$12689$n300": { "hide_name": 1, "bits": [ 303 ], "attributes": { } }, "$abc$12689$n301": { "hide_name": 1, "bits": [ 304 ], "attributes": { } }, "$abc$12689$n302": { "hide_name": 1, "bits": [ 305 ], "attributes": { } }, "$abc$12689$n303": { "hide_name": 1, "bits": [ 306 ], "attributes": { } }, "$abc$12689$n304": { "hide_name": 1, "bits": [ 307 ], "attributes": { } }, "$abc$12689$n305": { "hide_name": 1, "bits": [ 308 ], "attributes": { } }, "$abc$12689$n306": { "hide_name": 1, "bits": [ 309 ], "attributes": { } }, "$abc$12689$n307": { "hide_name": 1, "bits": [ 310 ], "attributes": { } }, "$abc$12689$n308": { "hide_name": 1, "bits": [ 311 ], "attributes": { } }, "$abc$12689$n309": { "hide_name": 1, "bits": [ 312 ], "attributes": { } }, "$abc$12689$n31": { "hide_name": 1, "bits": [ 34 ], "attributes": { } }, "$abc$12689$n310": { "hide_name": 1, "bits": [ 313 ], "attributes": { } }, "$abc$12689$n311": { "hide_name": 1, "bits": [ 314 ], "attributes": { } }, "$abc$12689$n312": { "hide_name": 1, "bits": [ 315 ], "attributes": { } }, "$abc$12689$n313": { "hide_name": 1, "bits": [ 316 ], "attributes": { } }, "$abc$12689$n314": { "hide_name": 1, "bits": [ 317 ], "attributes": { } }, "$abc$12689$n315": { "hide_name": 1, "bits": [ 318 ], "attributes": { } }, "$abc$12689$n316": { "hide_name": 1, "bits": [ 319 ], "attributes": { } }, "$abc$12689$n317": { "hide_name": 1, "bits": [ 320 ], "attributes": { } }, "$abc$12689$n318": { "hide_name": 1, "bits": [ 321 ], "attributes": { } }, "$abc$12689$n319": { "hide_name": 1, "bits": [ 322 ], "attributes": { } }, "$abc$12689$n32": { "hide_name": 1, "bits": [ 35 ], "attributes": { } }, "$abc$12689$n320": { "hide_name": 1, "bits": [ 323 ], "attributes": { } }, "$abc$12689$n321": { "hide_name": 1, "bits": [ 324 ], "attributes": { } }, "$abc$12689$n322": { "hide_name": 1, "bits": [ 325 ], "attributes": { } }, "$abc$12689$n323": { "hide_name": 1, "bits": [ 326 ], "attributes": { } }, "$abc$12689$n324": { "hide_name": 1, "bits": [ 327 ], "attributes": { } }, "$abc$12689$n325": { "hide_name": 1, "bits": [ 328 ], "attributes": { } }, "$abc$12689$n326": { "hide_name": 1, "bits": [ 329 ], "attributes": { } }, "$abc$12689$n327": { "hide_name": 1, "bits": [ 330 ], "attributes": { } }, "$abc$12689$n328": { "hide_name": 1, "bits": [ 331 ], "attributes": { } }, "$abc$12689$n329": { "hide_name": 1, "bits": [ 332 ], "attributes": { } }, "$abc$12689$n33": { "hide_name": 1, "bits": [ 36 ], "attributes": { } }, "$abc$12689$n330": { "hide_name": 1, "bits": [ 333 ], "attributes": { } }, "$abc$12689$n331": { "hide_name": 1, "bits": [ 334 ], "attributes": { } }, "$abc$12689$n332": { "hide_name": 1, "bits": [ 335 ], "attributes": { } }, "$abc$12689$n333": { "hide_name": 1, "bits": [ 336 ], "attributes": { } }, "$abc$12689$n334": { "hide_name": 1, "bits": [ 337 ], "attributes": { } }, "$abc$12689$n335": { "hide_name": 1, "bits": [ 338 ], "attributes": { } }, "$abc$12689$n336": { "hide_name": 1, "bits": [ 339 ], "attributes": { } }, "$abc$12689$n337": { "hide_name": 1, "bits": [ 340 ], "attributes": { } }, "$abc$12689$n338": { "hide_name": 1, "bits": [ 341 ], "attributes": { } }, "$abc$12689$n339": { "hide_name": 1, "bits": [ 342 ], "attributes": { } }, "$abc$12689$n34": { "hide_name": 1, "bits": [ 37 ], "attributes": { } }, "$abc$12689$n340": { "hide_name": 1, "bits": [ 343 ], "attributes": { } }, "$abc$12689$n341": { "hide_name": 1, "bits": [ 344 ], "attributes": { } }, "$abc$12689$n342": { "hide_name": 1, "bits": [ 345 ], "attributes": { } }, "$abc$12689$n343": { "hide_name": 1, "bits": [ 346 ], "attributes": { } }, "$abc$12689$n344": { "hide_name": 1, "bits": [ 347 ], "attributes": { } }, "$abc$12689$n345": { "hide_name": 1, "bits": [ 348 ], "attributes": { } }, "$abc$12689$n346": { "hide_name": 1, "bits": [ 349 ], "attributes": { } }, "$abc$12689$n347": { "hide_name": 1, "bits": [ 350 ], "attributes": { } }, "$abc$12689$n348": { "hide_name": 1, "bits": [ 351 ], "attributes": { } }, "$abc$12689$n349": { "hide_name": 1, "bits": [ 352 ], "attributes": { } }, "$abc$12689$n35": { "hide_name": 1, "bits": [ 38 ], "attributes": { } }, "$abc$12689$n350": { "hide_name": 1, "bits": [ 353 ], "attributes": { } }, "$abc$12689$n351": { "hide_name": 1, "bits": [ 354 ], "attributes": { } }, "$abc$12689$n352": { "hide_name": 1, "bits": [ 355 ], "attributes": { } }, "$abc$12689$n353": { "hide_name": 1, "bits": [ 356 ], "attributes": { } }, "$abc$12689$n354": { "hide_name": 1, "bits": [ 357 ], "attributes": { } }, "$abc$12689$n355": { "hide_name": 1, "bits": [ 358 ], "attributes": { } }, "$abc$12689$n356": { "hide_name": 1, "bits": [ 359 ], "attributes": { } }, "$abc$12689$n357": { "hide_name": 1, "bits": [ 360 ], "attributes": { } }, "$abc$12689$n358": { "hide_name": 1, "bits": [ 361 ], "attributes": { } }, "$abc$12689$n359": { "hide_name": 1, "bits": [ 362 ], "attributes": { } }, "$abc$12689$n36": { "hide_name": 1, "bits": [ 39 ], "attributes": { } }, "$abc$12689$n360": { "hide_name": 1, "bits": [ 363 ], "attributes": { } }, "$abc$12689$n361": { "hide_name": 1, "bits": [ 364 ], "attributes": { } }, "$abc$12689$n362": { "hide_name": 1, "bits": [ 365 ], "attributes": { } }, "$abc$12689$n363": { "hide_name": 1, "bits": [ 366 ], "attributes": { } }, "$abc$12689$n364": { "hide_name": 1, "bits": [ 367 ], "attributes": { } }, "$abc$12689$n365": { "hide_name": 1, "bits": [ 368 ], "attributes": { } }, "$abc$12689$n366": { "hide_name": 1, "bits": [ 369 ], "attributes": { } }, "$abc$12689$n367": { "hide_name": 1, "bits": [ 370 ], "attributes": { } }, "$abc$12689$n368": { "hide_name": 1, "bits": [ 371 ], "attributes": { } }, "$abc$12689$n369": { "hide_name": 1, "bits": [ 372 ], "attributes": { } }, "$abc$12689$n37": { "hide_name": 1, "bits": [ 40 ], "attributes": { } }, "$abc$12689$n370": { "hide_name": 1, "bits": [ 373 ], "attributes": { } }, "$abc$12689$n371": { "hide_name": 1, "bits": [ 374 ], "attributes": { } }, "$abc$12689$n372": { "hide_name": 1, "bits": [ 375 ], "attributes": { } }, "$abc$12689$n373": { "hide_name": 1, "bits": [ 376 ], "attributes": { } }, "$abc$12689$n374": { "hide_name": 1, "bits": [ 377 ], "attributes": { } }, "$abc$12689$n375": { "hide_name": 1, "bits": [ 378 ], "attributes": { } }, "$abc$12689$n376": { "hide_name": 1, "bits": [ 379 ], "attributes": { } }, "$abc$12689$n377": { "hide_name": 1, "bits": [ 380 ], "attributes": { } }, "$abc$12689$n378": { "hide_name": 1, "bits": [ 381 ], "attributes": { } }, "$abc$12689$n379": { "hide_name": 1, "bits": [ 382 ], "attributes": { } }, "$abc$12689$n38": { "hide_name": 1, "bits": [ 41 ], "attributes": { } }, "$abc$12689$n380": { "hide_name": 1, "bits": [ 383 ], "attributes": { } }, "$abc$12689$n381": { "hide_name": 1, "bits": [ 384 ], "attributes": { } }, "$abc$12689$n382": { "hide_name": 1, "bits": [ 385 ], "attributes": { } }, "$abc$12689$n383": { "hide_name": 1, "bits": [ 386 ], "attributes": { } }, "$abc$12689$n384": { "hide_name": 1, "bits": [ 387 ], "attributes": { } }, "$abc$12689$n385": { "hide_name": 1, "bits": [ 388 ], "attributes": { } }, "$abc$12689$n386": { "hide_name": 1, "bits": [ 389 ], "attributes": { } }, "$abc$12689$n387": { "hide_name": 1, "bits": [ 390 ], "attributes": { } }, "$abc$12689$n388": { "hide_name": 1, "bits": [ 391 ], "attributes": { } }, "$abc$12689$n389": { "hide_name": 1, "bits": [ 393 ], "attributes": { } }, "$abc$12689$n39": { "hide_name": 1, "bits": [ 42 ], "attributes": { } }, "$abc$12689$n390": { "hide_name": 1, "bits": [ 392 ], "attributes": { } }, "$abc$12689$n391": { "hide_name": 1, "bits": [ 394 ], "attributes": { } }, "$abc$12689$n392": { "hide_name": 1, "bits": [ 396 ], "attributes": { } }, "$abc$12689$n393": { "hide_name": 1, "bits": [ 395 ], "attributes": { } }, "$abc$12689$n395": { "hide_name": 1, "bits": [ 397 ], "attributes": { } }, "$abc$12689$n396": { "hide_name": 1, "bits": [ 398 ], "attributes": { } }, "$abc$12689$n397": { "hide_name": 1, "bits": [ 399 ], "attributes": { } }, "$abc$12689$n398": { "hide_name": 1, "bits": [ 400 ], "attributes": { } }, "$abc$12689$n399": { "hide_name": 1, "bits": [ 401 ], "attributes": { } }, "$abc$12689$n40": { "hide_name": 1, "bits": [ 43 ], "attributes": { } }, "$abc$12689$n400": { "hide_name": 1, "bits": [ 402 ], "attributes": { } }, "$abc$12689$n401": { "hide_name": 1, "bits": [ 404 ], "attributes": { } }, "$abc$12689$n403": { "hide_name": 1, "bits": [ 405 ], "attributes": { } }, "$abc$12689$n407": { "hide_name": 1, "bits": [ 406 ], "attributes": { } }, "$abc$12689$n408": { "hide_name": 1, "bits": [ 407 ], "attributes": { } }, "$abc$12689$n41": { "hide_name": 1, "bits": [ 44 ], "attributes": { } }, "$abc$12689$n410": { "hide_name": 1, "bits": [ 730 ], "attributes": { } }, "$abc$12689$n413": { "hide_name": 1, "bits": [ 732 ], "attributes": { } }, "$abc$12689$n416": { "hide_name": 1, "bits": [ 733 ], "attributes": { } }, "$abc$12689$n419": { "hide_name": 1, "bits": [ 734 ], "attributes": { } }, "$abc$12689$n42": { "hide_name": 1, "bits": [ 45 ], "attributes": { } }, "$abc$12689$n422": { "hide_name": 1, "bits": [ 735 ], "attributes": { } }, "$abc$12689$n425": { "hide_name": 1, "bits": [ 736 ], "attributes": { } }, "$abc$12689$n428": { "hide_name": 1, "bits": [ 737 ], "attributes": { } }, "$abc$12689$n43": { "hide_name": 1, "bits": [ 46 ], "attributes": { } }, "$abc$12689$n431": { "hide_name": 1, "bits": [ 738 ], "attributes": { } }, "$abc$12689$n434": { "hide_name": 1, "bits": [ 739 ], "attributes": { } }, "$abc$12689$n437": { "hide_name": 1, "bits": [ 740 ], "attributes": { } }, "$abc$12689$n44": { "hide_name": 1, "bits": [ 47 ], "attributes": { } }, "$abc$12689$n440": { "hide_name": 1, "bits": [ 741 ], "attributes": { } }, "$abc$12689$n443": { "hide_name": 1, "bits": [ 742 ], "attributes": { } }, "$abc$12689$n446": { "hide_name": 1, "bits": [ 743 ], "attributes": { } }, "$abc$12689$n449": { "hide_name": 1, "bits": [ 744 ], "attributes": { } }, "$abc$12689$n45": { "hide_name": 1, "bits": [ 48 ], "attributes": { } }, "$abc$12689$n452": { "hide_name": 1, "bits": [ 745 ], "attributes": { } }, "$abc$12689$n455": { "hide_name": 1, "bits": [ 746 ], "attributes": { } }, "$abc$12689$n458": { "hide_name": 1, "bits": [ 747 ], "attributes": { } }, "$abc$12689$n46": { "hide_name": 1, "bits": [ 49 ], "attributes": { } }, "$abc$12689$n461": { "hide_name": 1, "bits": [ 748 ], "attributes": { } }, "$abc$12689$n464": { "hide_name": 1, "bits": [ 749 ], "attributes": { } }, "$abc$12689$n467": { "hide_name": 1, "bits": [ 750 ], "attributes": { } }, "$abc$12689$n47": { "hide_name": 1, "bits": [ 50 ], "attributes": { } }, "$abc$12689$n470": { "hide_name": 1, "bits": [ 751 ], "attributes": { } }, "$abc$12689$n473": { "hide_name": 1, "bits": [ 752 ], "attributes": { } }, "$abc$12689$n476": { "hide_name": 1, "bits": [ 753 ], "attributes": { } }, "$abc$12689$n479": { "hide_name": 1, "bits": [ 754 ], "attributes": { } }, "$abc$12689$n48": { "hide_name": 1, "bits": [ 51 ], "attributes": { } }, "$abc$12689$n482": { "hide_name": 1, "bits": [ 755 ], "attributes": { } }, "$abc$12689$n485": { "hide_name": 1, "bits": [ 756 ], "attributes": { } }, "$abc$12689$n488": { "hide_name": 1, "bits": [ 757 ], "attributes": { } }, "$abc$12689$n49": { "hide_name": 1, "bits": [ 52 ], "attributes": { } }, "$abc$12689$n491": { "hide_name": 1, "bits": [ 758 ], "attributes": { } }, "$abc$12689$n494": { "hide_name": 1, "bits": [ 759 ], "attributes": { } }, "$abc$12689$n497": { "hide_name": 1, "bits": [ 760 ], "attributes": { } }, "$abc$12689$n50": { "hide_name": 1, "bits": [ 53 ], "attributes": { } }, "$abc$12689$n500": { "hide_name": 1, "bits": [ 761 ], "attributes": { } }, "$abc$12689$n503": { "hide_name": 1, "bits": [ 762 ], "attributes": { } }, "$abc$12689$n506": { "hide_name": 1, "bits": [ 763 ], "attributes": { } }, "$abc$12689$n509": { "hide_name": 1, "bits": [ 764 ], "attributes": { } }, "$abc$12689$n51": { "hide_name": 1, "bits": [ 54 ], "attributes": { } }, "$abc$12689$n512": { "hide_name": 1, "bits": [ 765 ], "attributes": { } }, "$abc$12689$n515": { "hide_name": 1, "bits": [ 766 ], "attributes": { } }, "$abc$12689$n518": { "hide_name": 1, "bits": [ 767 ], "attributes": { } }, "$abc$12689$n52": { "hide_name": 1, "bits": [ 55 ], "attributes": { } }, "$abc$12689$n521": { "hide_name": 1, "bits": [ 768 ], "attributes": { } }, "$abc$12689$n524": { "hide_name": 1, "bits": [ 769 ], "attributes": { } }, "$abc$12689$n527": { "hide_name": 1, "bits": [ 770 ], "attributes": { } }, "$abc$12689$n53": { "hide_name": 1, "bits": [ 56 ], "attributes": { } }, "$abc$12689$n530": { "hide_name": 1, "bits": [ 771 ], "attributes": { } }, "$abc$12689$n533": { "hide_name": 1, "bits": [ 772 ], "attributes": { } }, "$abc$12689$n536": { "hide_name": 1, "bits": [ 773 ], "attributes": { } }, "$abc$12689$n539": { "hide_name": 1, "bits": [ 774 ], "attributes": { } }, "$abc$12689$n54": { "hide_name": 1, "bits": [ 57 ], "attributes": { } }, "$abc$12689$n542": { "hide_name": 1, "bits": [ 775 ], "attributes": { } }, "$abc$12689$n545": { "hide_name": 1, "bits": [ 776 ], "attributes": { } }, "$abc$12689$n548": { "hide_name": 1, "bits": [ 777 ], "attributes": { } }, "$abc$12689$n55": { "hide_name": 1, "bits": [ 58 ], "attributes": { } }, "$abc$12689$n551": { "hide_name": 1, "bits": [ 778 ], "attributes": { } }, "$abc$12689$n554": { "hide_name": 1, "bits": [ 779 ], "attributes": { } }, "$abc$12689$n557": { "hide_name": 1, "bits": [ 780 ], "attributes": { } }, "$abc$12689$n56": { "hide_name": 1, "bits": [ 59 ], "attributes": { } }, "$abc$12689$n560": { "hide_name": 1, "bits": [ 781 ], "attributes": { } }, "$abc$12689$n563": { "hide_name": 1, "bits": [ 782 ], "attributes": { } }, "$abc$12689$n566": { "hide_name": 1, "bits": [ 783 ], "attributes": { } }, "$abc$12689$n569": { "hide_name": 1, "bits": [ 784 ], "attributes": { } }, "$abc$12689$n57": { "hide_name": 1, "bits": [ 60 ], "attributes": { } }, "$abc$12689$n572": { "hide_name": 1, "bits": [ 785 ], "attributes": { } }, "$abc$12689$n575": { "hide_name": 1, "bits": [ 786 ], "attributes": { } }, "$abc$12689$n578": { "hide_name": 1, "bits": [ 787 ], "attributes": { } }, "$abc$12689$n58": { "hide_name": 1, "bits": [ 61 ], "attributes": { } }, "$abc$12689$n581": { "hide_name": 1, "bits": [ 788 ], "attributes": { } }, "$abc$12689$n584": { "hide_name": 1, "bits": [ 789 ], "attributes": { } }, "$abc$12689$n587": { "hide_name": 1, "bits": [ 790 ], "attributes": { } }, "$abc$12689$n59": { "hide_name": 1, "bits": [ 62 ], "attributes": { } }, "$abc$12689$n590": { "hide_name": 1, "bits": [ 791 ], "attributes": { } }, "$abc$12689$n593": { "hide_name": 1, "bits": [ 792 ], "attributes": { } }, "$abc$12689$n596": { "hide_name": 1, "bits": [ 793 ], "attributes": { } }, "$abc$12689$n599": { "hide_name": 1, "bits": [ 794 ], "attributes": { } }, "$abc$12689$n60": { "hide_name": 1, "bits": [ 63 ], "attributes": { } }, "$abc$12689$n602": { "hide_name": 1, "bits": [ 795 ], "attributes": { } }, "$abc$12689$n605": { "hide_name": 1, "bits": [ 796 ], "attributes": { } }, "$abc$12689$n608": { "hide_name": 1, "bits": [ 797 ], "attributes": { } }, "$abc$12689$n61": { "hide_name": 1, "bits": [ 64 ], "attributes": { } }, "$abc$12689$n611": { "hide_name": 1, "bits": [ 798 ], "attributes": { } }, "$abc$12689$n614": { "hide_name": 1, "bits": [ 799 ], "attributes": { } }, "$abc$12689$n617": { "hide_name": 1, "bits": [ 800 ], "attributes": { } }, "$abc$12689$n62": { "hide_name": 1, "bits": [ 65 ], "attributes": { } }, "$abc$12689$n620": { "hide_name": 1, "bits": [ 801 ], "attributes": { } }, "$abc$12689$n623": { "hide_name": 1, "bits": [ 802 ], "attributes": { } }, "$abc$12689$n626": { "hide_name": 1, "bits": [ 803 ], "attributes": { } }, "$abc$12689$n629": { "hide_name": 1, "bits": [ 804 ], "attributes": { } }, "$abc$12689$n63": { "hide_name": 1, "bits": [ 66 ], "attributes": { } }, "$abc$12689$n632": { "hide_name": 1, "bits": [ 805 ], "attributes": { } }, "$abc$12689$n635": { "hide_name": 1, "bits": [ 806 ], "attributes": { } }, "$abc$12689$n638": { "hide_name": 1, "bits": [ 807 ], "attributes": { } }, "$abc$12689$n64": { "hide_name": 1, "bits": [ 67 ], "attributes": { } }, "$abc$12689$n641": { "hide_name": 1, "bits": [ 808 ], "attributes": { } }, "$abc$12689$n644": { "hide_name": 1, "bits": [ 809 ], "attributes": { } }, "$abc$12689$n647": { "hide_name": 1, "bits": [ 810 ], "attributes": { } }, "$abc$12689$n65": { "hide_name": 1, "bits": [ 68 ], "attributes": { } }, "$abc$12689$n650": { "hide_name": 1, "bits": [ 811 ], "attributes": { } }, "$abc$12689$n653": { "hide_name": 1, "bits": [ 812 ], "attributes": { } }, "$abc$12689$n656": { "hide_name": 1, "bits": [ 813 ], "attributes": { } }, "$abc$12689$n659": { "hide_name": 1, "bits": [ 814 ], "attributes": { } }, "$abc$12689$n66": { "hide_name": 1, "bits": [ 69 ], "attributes": { } }, "$abc$12689$n662": { "hide_name": 1, "bits": [ 815 ], "attributes": { } }, "$abc$12689$n665": { "hide_name": 1, "bits": [ 816 ], "attributes": { } }, "$abc$12689$n668": { "hide_name": 1, "bits": [ 817 ], "attributes": { } }, "$abc$12689$n67": { "hide_name": 1, "bits": [ 70 ], "attributes": { } }, "$abc$12689$n671": { "hide_name": 1, "bits": [ 818 ], "attributes": { } }, "$abc$12689$n674": { "hide_name": 1, "bits": [ 819 ], "attributes": { } }, "$abc$12689$n677": { "hide_name": 1, "bits": [ 820 ], "attributes": { } }, "$abc$12689$n68": { "hide_name": 1, "bits": [ 71 ], "attributes": { } }, "$abc$12689$n680": { "hide_name": 1, "bits": [ 821 ], "attributes": { } }, "$abc$12689$n683": { "hide_name": 1, "bits": [ 822 ], "attributes": { } }, "$abc$12689$n686": { "hide_name": 1, "bits": [ 823 ], "attributes": { } }, "$abc$12689$n689": { "hide_name": 1, "bits": [ 824 ], "attributes": { } }, "$abc$12689$n69": { "hide_name": 1, "bits": [ 72 ], "attributes": { } }, "$abc$12689$n692": { "hide_name": 1, "bits": [ 825 ], "attributes": { } }, "$abc$12689$n695": { "hide_name": 1, "bits": [ 826 ], "attributes": { } }, "$abc$12689$n698": { "hide_name": 1, "bits": [ 827 ], "attributes": { } }, "$abc$12689$n70": { "hide_name": 1, "bits": [ 73 ], "attributes": { } }, "$abc$12689$n701": { "hide_name": 1, "bits": [ 828 ], "attributes": { } }, "$abc$12689$n704": { "hide_name": 1, "bits": [ 829 ], "attributes": { } }, "$abc$12689$n707": { "hide_name": 1, "bits": [ 830 ], "attributes": { } }, "$abc$12689$n71": { "hide_name": 1, "bits": [ 74 ], "attributes": { } }, "$abc$12689$n710": { "hide_name": 1, "bits": [ 831 ], "attributes": { } }, "$abc$12689$n713": { "hide_name": 1, "bits": [ 832 ], "attributes": { } }, "$abc$12689$n716": { "hide_name": 1, "bits": [ 833 ], "attributes": { } }, "$abc$12689$n719": { "hide_name": 1, "bits": [ 834 ], "attributes": { } }, "$abc$12689$n72": { "hide_name": 1, "bits": [ 75 ], "attributes": { } }, "$abc$12689$n722": { "hide_name": 1, "bits": [ 835 ], "attributes": { } }, "$abc$12689$n725": { "hide_name": 1, "bits": [ 836 ], "attributes": { } }, "$abc$12689$n728": { "hide_name": 1, "bits": [ 837 ], "attributes": { } }, "$abc$12689$n73": { "hide_name": 1, "bits": [ 76 ], "attributes": { } }, "$abc$12689$n731": { "hide_name": 1, "bits": [ 838 ], "attributes": { } }, "$abc$12689$n734": { "hide_name": 1, "bits": [ 839 ], "attributes": { } }, "$abc$12689$n737": { "hide_name": 1, "bits": [ 840 ], "attributes": { } }, "$abc$12689$n74": { "hide_name": 1, "bits": [ 77 ], "attributes": { } }, "$abc$12689$n740": { "hide_name": 1, "bits": [ 841 ], "attributes": { } }, "$abc$12689$n743": { "hide_name": 1, "bits": [ 842 ], "attributes": { } }, "$abc$12689$n746": { "hide_name": 1, "bits": [ 843 ], "attributes": { } }, "$abc$12689$n749": { "hide_name": 1, "bits": [ 844 ], "attributes": { } }, "$abc$12689$n75": { "hide_name": 1, "bits": [ 78 ], "attributes": { } }, "$abc$12689$n752": { "hide_name": 1, "bits": [ 845 ], "attributes": { } }, "$abc$12689$n755": { "hide_name": 1, "bits": [ 846 ], "attributes": { } }, "$abc$12689$n758": { "hide_name": 1, "bits": [ 847 ], "attributes": { } }, "$abc$12689$n76": { "hide_name": 1, "bits": [ 79 ], "attributes": { } }, "$abc$12689$n761": { "hide_name": 1, "bits": [ 848 ], "attributes": { } }, "$abc$12689$n764": { "hide_name": 1, "bits": [ 849 ], "attributes": { } }, "$abc$12689$n767": { "hide_name": 1, "bits": [ 850 ], "attributes": { } }, "$abc$12689$n77": { "hide_name": 1, "bits": [ 80 ], "attributes": { } }, "$abc$12689$n770": { "hide_name": 1, "bits": [ 851 ], "attributes": { } }, "$abc$12689$n773": { "hide_name": 1, "bits": [ 852 ], "attributes": { } }, "$abc$12689$n776": { "hide_name": 1, "bits": [ 853 ], "attributes": { } }, "$abc$12689$n779": { "hide_name": 1, "bits": [ 854 ], "attributes": { } }, "$abc$12689$n78": { "hide_name": 1, "bits": [ 81 ], "attributes": { } }, "$abc$12689$n782": { "hide_name": 1, "bits": [ 855 ], "attributes": { } }, "$abc$12689$n785": { "hide_name": 1, "bits": [ 856 ], "attributes": { } }, "$abc$12689$n788": { "hide_name": 1, "bits": [ 857 ], "attributes": { } }, "$abc$12689$n79": { "hide_name": 1, "bits": [ 82 ], "attributes": { } }, "$abc$12689$n791": { "hide_name": 1, "bits": [ 858 ], "attributes": { } }, "$abc$12689$n794": { "hide_name": 1, "bits": [ 859 ], "attributes": { } }, "$abc$12689$n797": { "hide_name": 1, "bits": [ 860 ], "attributes": { } }, "$abc$12689$n8": { "hide_name": 1, "bits": [ 11 ], "attributes": { } }, "$abc$12689$n80": { "hide_name": 1, "bits": [ 83 ], "attributes": { } }, "$abc$12689$n800": { "hide_name": 1, "bits": [ 861 ], "attributes": { } }, "$abc$12689$n803": { "hide_name": 1, "bits": [ 862 ], "attributes": { } }, "$abc$12689$n806": { "hide_name": 1, "bits": [ 863 ], "attributes": { } }, "$abc$12689$n809": { "hide_name": 1, "bits": [ 864 ], "attributes": { } }, "$abc$12689$n81": { "hide_name": 1, "bits": [ 84 ], "attributes": { } }, "$abc$12689$n812": { "hide_name": 1, "bits": [ 865 ], "attributes": { } }, "$abc$12689$n815": { "hide_name": 1, "bits": [ 866 ], "attributes": { } }, "$abc$12689$n818": { "hide_name": 1, "bits": [ 867 ], "attributes": { } }, "$abc$12689$n82": { "hide_name": 1, "bits": [ 85 ], "attributes": { } }, "$abc$12689$n821": { "hide_name": 1, "bits": [ 868 ], "attributes": { } }, "$abc$12689$n824": { "hide_name": 1, "bits": [ 869 ], "attributes": { } }, "$abc$12689$n827": { "hide_name": 1, "bits": [ 870 ], "attributes": { } }, "$abc$12689$n83": { "hide_name": 1, "bits": [ 86 ], "attributes": { } }, "$abc$12689$n830": { "hide_name": 1, "bits": [ 871 ], "attributes": { } }, "$abc$12689$n833": { "hide_name": 1, "bits": [ 872 ], "attributes": { } }, "$abc$12689$n836": { "hide_name": 1, "bits": [ 873 ], "attributes": { } }, "$abc$12689$n839": { "hide_name": 1, "bits": [ 874 ], "attributes": { } }, "$abc$12689$n84": { "hide_name": 1, "bits": [ 87 ], "attributes": { } }, "$abc$12689$n842": { "hide_name": 1, "bits": [ 875 ], "attributes": { } }, "$abc$12689$n845": { "hide_name": 1, "bits": [ 876 ], "attributes": { } }, "$abc$12689$n848": { "hide_name": 1, "bits": [ 877 ], "attributes": { } }, "$abc$12689$n85": { "hide_name": 1, "bits": [ 88 ], "attributes": { } }, "$abc$12689$n851": { "hide_name": 1, "bits": [ 878 ], "attributes": { } }, "$abc$12689$n854": { "hide_name": 1, "bits": [ 879 ], "attributes": { } }, "$abc$12689$n857": { "hide_name": 1, "bits": [ 880 ], "attributes": { } }, "$abc$12689$n86": { "hide_name": 1, "bits": [ 89 ], "attributes": { } }, "$abc$12689$n860": { "hide_name": 1, "bits": [ 881 ], "attributes": { } }, "$abc$12689$n863": { "hide_name": 1, "bits": [ 882 ], "attributes": { } }, "$abc$12689$n866": { "hide_name": 1, "bits": [ 883 ], "attributes": { } }, "$abc$12689$n869": { "hide_name": 1, "bits": [ 884 ], "attributes": { } }, "$abc$12689$n87": { "hide_name": 1, "bits": [ 90 ], "attributes": { } }, "$abc$12689$n872": { "hide_name": 1, "bits": [ 885 ], "attributes": { } }, "$abc$12689$n875": { "hide_name": 1, "bits": [ 886 ], "attributes": { } }, "$abc$12689$n878": { "hide_name": 1, "bits": [ 887 ], "attributes": { } }, "$abc$12689$n88": { "hide_name": 1, "bits": [ 91 ], "attributes": { } }, "$abc$12689$n881": { "hide_name": 1, "bits": [ 888 ], "attributes": { } }, "$abc$12689$n884": { "hide_name": 1, "bits": [ 889 ], "attributes": { } }, "$abc$12689$n887": { "hide_name": 1, "bits": [ 890 ], "attributes": { } }, "$abc$12689$n89": { "hide_name": 1, "bits": [ 92 ], "attributes": { } }, "$abc$12689$n890": { "hide_name": 1, "bits": [ 891 ], "attributes": { } }, "$abc$12689$n893": { "hide_name": 1, "bits": [ 892 ], "attributes": { } }, "$abc$12689$n896": { "hide_name": 1, "bits": [ 893 ], "attributes": { } }, "$abc$12689$n899": { "hide_name": 1, "bits": [ 894 ], "attributes": { } }, "$abc$12689$n9": { "hide_name": 1, "bits": [ 12 ], "attributes": { } }, "$abc$12689$n90": { "hide_name": 1, "bits": [ 93 ], "attributes": { } }, "$abc$12689$n902": { "hide_name": 1, "bits": [ 895 ], "attributes": { } }, "$abc$12689$n905": { "hide_name": 1, "bits": [ 896 ], "attributes": { } }, "$abc$12689$n908": { "hide_name": 1, "bits": [ 897 ], "attributes": { } }, "$abc$12689$n91": { "hide_name": 1, "bits": [ 94 ], "attributes": { } }, "$abc$12689$n911": { "hide_name": 1, "bits": [ 898 ], "attributes": { } }, "$abc$12689$n914": { "hide_name": 1, "bits": [ 899 ], "attributes": { } }, "$abc$12689$n917": { "hide_name": 1, "bits": [ 900 ], "attributes": { } }, "$abc$12689$n92": { "hide_name": 1, "bits": [ 95 ], "attributes": { } }, "$abc$12689$n920": { "hide_name": 1, "bits": [ 901 ], "attributes": { } }, "$abc$12689$n923": { "hide_name": 1, "bits": [ 902 ], "attributes": { } }, "$abc$12689$n926": { "hide_name": 1, "bits": [ 903 ], "attributes": { } }, "$abc$12689$n929": { "hide_name": 1, "bits": [ 904 ], "attributes": { } }, "$abc$12689$n93": { "hide_name": 1, "bits": [ 96 ], "attributes": { } }, "$abc$12689$n932": { "hide_name": 1, "bits": [ 905 ], "attributes": { } }, "$abc$12689$n935": { "hide_name": 1, "bits": [ 906 ], "attributes": { } }, "$abc$12689$n938": { "hide_name": 1, "bits": [ 907 ], "attributes": { } }, "$abc$12689$n94": { "hide_name": 1, "bits": [ 97 ], "attributes": { } }, "$abc$12689$n941": { "hide_name": 1, "bits": [ 908 ], "attributes": { } }, "$abc$12689$n944": { "hide_name": 1, "bits": [ 909 ], "attributes": { } }, "$abc$12689$n947": { "hide_name": 1, "bits": [ 910 ], "attributes": { } }, "$abc$12689$n95": { "hide_name": 1, "bits": [ 98 ], "attributes": { } }, "$abc$12689$n950": { "hide_name": 1, "bits": [ 911 ], "attributes": { } }, "$abc$12689$n953": { "hide_name": 1, "bits": [ 912 ], "attributes": { } }, "$abc$12689$n956": { "hide_name": 1, "bits": [ 913 ], "attributes": { } }, "$abc$12689$n959": { "hide_name": 1, "bits": [ 914 ], "attributes": { } }, "$abc$12689$n96": { "hide_name": 1, "bits": [ 99 ], "attributes": { } }, "$abc$12689$n962": { "hide_name": 1, "bits": [ 915 ], "attributes": { } }, "$abc$12689$n965": { "hide_name": 1, "bits": [ 916 ], "attributes": { } }, "$abc$12689$n968": { "hide_name": 1, "bits": [ 917 ], "attributes": { } }, "$abc$12689$n97": { "hide_name": 1, "bits": [ 100 ], "attributes": { } }, "$abc$12689$n971": { "hide_name": 1, "bits": [ 918 ], "attributes": { } }, "$abc$12689$n974": { "hide_name": 1, "bits": [ 919 ], "attributes": { } }, "$abc$12689$n976": { "hide_name": 1, "bits": [ 408 ], "attributes": { } }, "$abc$12689$n979": { "hide_name": 1, "bits": [ 409 ], "attributes": { } }, "$abc$12689$n98": { "hide_name": 1, "bits": [ 101 ], "attributes": { } }, "$abc$12689$n981": { "hide_name": 1, "bits": [ 731 ], "attributes": { } }, "$abc$12689$n986": { "hide_name": 1, "bits": [ 455 ], "attributes": { } }, "$abc$12689$n988": { "hide_name": 1, "bits": [ 457 ], "attributes": { } }, "$abc$12689$n99": { "hide_name": 1, "bits": [ 102 ], "attributes": { } }, "$abc$12689$n990": { "hide_name": 1, "bits": [ 459 ], "attributes": { } }, "$abc$12689$n994": { "hide_name": 1, "bits": [ 461 ], "attributes": { } }, "$abc$4973$li192": { "hide_name": 1, "bits": [ 652 ], "attributes": { } }, "$abc$4973$li193": { "hide_name": 1, "bits": [ 654 ], "attributes": { } }, "$abc$4973$li194": { "hide_name": 1, "bits": [ 656 ], "attributes": { } }, "$abc$4973$li195": { "hide_name": 1, "bits": [ 658 ], "attributes": { } }, "$abc$4973$li196": { "hide_name": 1, "bits": [ 660 ], "attributes": { } }, "$abc$4973$li197": { "hide_name": 1, "bits": [ 662 ], "attributes": { } }, "$abc$4973$li198": { "hide_name": 1, "bits": [ 664 ], "attributes": { } }, "$abc$4973$li199": { "hide_name": 1, "bits": [ 666 ], "attributes": { } }, "$abc$4973$li200": { "hide_name": 1, "bits": [ 668 ], "attributes": { } }, "$abc$4973$li201": { "hide_name": 1, "bits": [ 670 ], "attributes": { } }, "$abc$4973$li202": { "hide_name": 1, "bits": [ 672 ], "attributes": { } }, "$abc$4973$li203": { "hide_name": 1, "bits": [ 674 ], "attributes": { } }, "$abc$4973$li204": { "hide_name": 1, "bits": [ 676 ], "attributes": { } }, "$abc$4973$li205": { "hide_name": 1, "bits": [ 678 ], "attributes": { } }, "$abc$4973$li206": { "hide_name": 1, "bits": [ 680 ], "attributes": { } }, "$abc$4973$li207": { "hide_name": 1, "bits": [ 682 ], "attributes": { } }, "$abc$4973$li208": { "hide_name": 1, "bits": [ 683 ], "attributes": { } }, "$abc$4973$li209": { "hide_name": 1, "bits": [ 684 ], "attributes": { } }, "$abc$4973$li210": { "hide_name": 1, "bits": [ 685 ], "attributes": { } }, "$abc$4973$li211": { "hide_name": 1, "bits": [ 686 ], "attributes": { } }, "$abc$4973$li212": { "hide_name": 1, "bits": [ 687 ], "attributes": { } }, "$abc$4973$li213": { "hide_name": 1, "bits": [ 688 ], "attributes": { } }, "$abc$4973$li214": { "hide_name": 1, "bits": [ 689 ], "attributes": { } }, "$abc$4973$li215": { "hide_name": 1, "bits": [ 690 ], "attributes": { } }, "$abc$4973$li216": { "hide_name": 1, "bits": [ 691 ], "attributes": { } }, "$abc$4973$li217": { "hide_name": 1, "bits": [ 692 ], "attributes": { } }, "$abc$4973$li218": { "hide_name": 1, "bits": [ 693 ], "attributes": { } }, "$abc$4973$li219": { "hide_name": 1, "bits": [ 694 ], "attributes": { } }, "$abc$4973$li220": { "hide_name": 1, "bits": [ 695 ], "attributes": { } }, "$abc$4973$li221": { "hide_name": 1, "bits": [ 696 ], "attributes": { } }, "$abc$4973$li222": { "hide_name": 1, "bits": [ 697 ], "attributes": { } }, "$abc$4973$li223": { "hide_name": 1, "bits": [ 698 ], "attributes": { } }, "$abc$4973$li224": { "hide_name": 1, "bits": [ 699 ], "attributes": { } }, "$abc$4973$li225": { "hide_name": 1, "bits": [ 700 ], "attributes": { } }, "$abc$4973$li226": { "hide_name": 1, "bits": [ 701 ], "attributes": { } }, "$abc$4973$li227": { "hide_name": 1, "bits": [ 702 ], "attributes": { } }, "$abc$4973$li228": { "hide_name": 1, "bits": [ 703 ], "attributes": { } }, "$abc$4973$li229": { "hide_name": 1, "bits": [ 704 ], "attributes": { } }, "$abc$4973$li230": { "hide_name": 1, "bits": [ 705 ], "attributes": { } }, "$abc$4973$li231": { "hide_name": 1, "bits": [ 706 ], "attributes": { } }, "$abc$4973$li233": { "hide_name": 1, "bits": [ 707 ], "attributes": { } }, "$abc$4973$li234": { "hide_name": 1, "bits": [ 708 ], "attributes": { } }, "$abc$4973$li235": { "hide_name": 1, "bits": [ 709 ], "attributes": { } }, "$abc$4973$li252": { "hide_name": 1, "bits": [ 710 ], "attributes": { } }, "$abc$4973$li253": { "hide_name": 1, "bits": [ 711 ], "attributes": { } }, "$abc$4973$li254": { "hide_name": 1, "bits": [ 712 ], "attributes": { } }, "$abc$4973$li255": { "hide_name": 1, "bits": [ 713 ], "attributes": { } }, "$abc$4973$li256": { "hide_name": 1, "bits": [ 714 ], "attributes": { } }, "$abc$4973$li257": { "hide_name": 1, "bits": [ 715 ], "attributes": { } }, "$abc$4973$li258": { "hide_name": 1, "bits": [ 716 ], "attributes": { } }, "$abc$4973$li259": { "hide_name": 1, "bits": [ 717 ], "attributes": { } }, "$abc$4973$li260": { "hide_name": 1, "bits": [ 718 ], "attributes": { } }, "$abc$4973$li261": { "hide_name": 1, "bits": [ 719 ], "attributes": { } }, "$abc$4973$li262": { "hide_name": 1, "bits": [ 720 ], "attributes": { } }, "$abc$4973$li263": { "hide_name": 1, "bits": [ 721 ], "attributes": { } }, "$abc$4973$li264": { "hide_name": 1, "bits": [ 722 ], "attributes": { } }, "$abc$4973$li265": { "hide_name": 1, "bits": [ 723 ], "attributes": { } }, "$abc$4973$li266": { "hide_name": 1, "bits": [ 724 ], "attributes": { } }, "$abc$4973$li267": { "hide_name": 1, "bits": [ 725 ], "attributes": { } }, "$abc$4973$li275": { "hide_name": 1, "bits": [ 727 ], "attributes": { } }, "$abc$4973$li283": { "hide_name": 1, "bits": [ 729 ], "attributes": { } }, "$abc$5953$li000": { "hide_name": 1, "bits": [ 921 ], "attributes": { } }, "$abc$5953$li001": { "hide_name": 1, "bits": [ 923 ], "attributes": { } }, "$abc$5953$li002": { "hide_name": 1, "bits": [ 925 ], "attributes": { } }, "$abc$5953$li003": { "hide_name": 1, "bits": [ 927 ], "attributes": { } }, "$abc$5953$li004": { "hide_name": 1, "bits": [ 929 ], "attributes": { } }, "$abc$5953$li005": { "hide_name": 1, "bits": [ 931 ], "attributes": { } }, "$abc$5953$li007": { "hide_name": 1, "bits": [ 934 ], "attributes": { } }, "$abc$5953$li016": { "hide_name": 1, "bits": [ 942 ], "attributes": { } }, "$abc$5953$li017": { "hide_name": 1, "bits": [ 944 ], "attributes": { } }, "$abc$5953$li018": { "hide_name": 1, "bits": [ 946 ], "attributes": { } }, "$abc$5953$li019": { "hide_name": 1, "bits": [ 948 ], "attributes": { } }, "$abc$5953$li020": { "hide_name": 1, "bits": [ 950 ], "attributes": { } }, "$abc$5953$li021": { "hide_name": 1, "bits": [ 952 ], "attributes": { } }, "$abc$5953$li023": { "hide_name": 1, "bits": [ 955 ], "attributes": { } }, "$abc$5953$li032": { "hide_name": 1, "bits": [ 963 ], "attributes": { } }, "$abc$5953$li033": { "hide_name": 1, "bits": [ 965 ], "attributes": { } }, "$abc$5953$li034": { "hide_name": 1, "bits": [ 967 ], "attributes": { } }, "$abc$5953$li035": { "hide_name": 1, "bits": [ 969 ], "attributes": { } }, "$abc$5953$li036": { "hide_name": 1, "bits": [ 971 ], "attributes": { } }, "$abc$5953$li037": { "hide_name": 1, "bits": [ 973 ], "attributes": { } }, "$abc$5953$li039": { "hide_name": 1, "bits": [ 976 ], "attributes": { } }, "$abc$5953$li048": { "hide_name": 1, "bits": [ 984 ], "attributes": { } }, "$abc$5953$li049": { "hide_name": 1, "bits": [ 986 ], "attributes": { } }, "$abc$5953$li050": { "hide_name": 1, "bits": [ 988 ], "attributes": { } }, "$abc$5953$li051": { "hide_name": 1, "bits": [ 990 ], "attributes": { } }, "$abc$5953$li052": { "hide_name": 1, "bits": [ 992 ], "attributes": { } }, "$abc$5953$li053": { "hide_name": 1, "bits": [ 994 ], "attributes": { } }, "$abc$5953$li055": { "hide_name": 1, "bits": [ 997 ], "attributes": { } }, "$abc$5953$li132": { "hide_name": 1, "bits": [ 1006 ], "attributes": { } }, "$abc$5953$li133": { "hide_name": 1, "bits": [ 1008 ], "attributes": { } }, "$abc$5953$li135": { "hide_name": 1, "bits": [ 1011 ], "attributes": { } }, "$abc$5953$li167": { "hide_name": 1, "bits": [ 1015 ], "attributes": { } }, "$abc$5953$li168": { "hide_name": 1, "bits": [ 1017 ], "attributes": { } }, "$abc$5953$li169": { "hide_name": 1, "bits": [ 1019 ], "attributes": { } }, "$abc$5953$li170": { "hide_name": 1, "bits": [ 1021 ], "attributes": { } }, "$abc$5953$li171": { "hide_name": 1, "bits": [ 1023 ], "attributes": { } }, "$abc$5953$li172": { "hide_name": 1, "bits": [ 1025 ], "attributes": { } }, "$abc$5953$li173": { "hide_name": 1, "bits": [ 1027 ], "attributes": { } }, "$abc$5953$li174": { "hide_name": 1, "bits": [ 1029 ], "attributes": { } }, "$abc$5953$li175": { "hide_name": 1, "bits": [ 1031 ], "attributes": { } }, "$abc$5953$li176": { "hide_name": 1, "bits": [ 1033 ], "attributes": { } }, "$abc$5953$li177": { "hide_name": 1, "bits": [ 1035 ], "attributes": { } }, "$abc$5953$li178": { "hide_name": 1, "bits": [ 1037 ], "attributes": { } }, "$abc$5953$li179": { "hide_name": 1, "bits": [ 1039 ], "attributes": { } }, "$abc$5953$li180": { "hide_name": 1, "bits": [ 1041 ], "attributes": { } }, "$abc$5953$li181": { "hide_name": 1, "bits": [ 1043 ], "attributes": { } }, "$abc$5953$li182": { "hide_name": 1, "bits": [ 1045 ], "attributes": { } }, "$abc$5953$li183": { "hide_name": 1, "bits": [ 1047 ], "attributes": { } }, "$abc$5953$li184": { "hide_name": 1, "bits": [ 1049 ], "attributes": { } }, "$abc$5953$li185": { "hide_name": 1, "bits": [ 1051 ], "attributes": { } }, "$abc$5953$li186": { "hide_name": 1, "bits": [ 1053 ], "attributes": { } }, "$abc$5953$li187": { "hide_name": 1, "bits": [ 1055 ], "attributes": { } }, "$abc$5953$li188": { "hide_name": 1, "bits": [ 1057 ], "attributes": { } }, "$abc$5953$li189": { "hide_name": 1, "bits": [ 1059 ], "attributes": { } }, "$abc$5953$li190": { "hide_name": 1, "bits": [ 1061 ], "attributes": { } }, "$abc$5953$li206": { "hide_name": 1, "bits": [ 1063 ], "attributes": { } }, "$abc$5953$li207": { "hide_name": 1, "bits": [ 1065 ], "attributes": { } }, "$abc$5953$li208": { "hide_name": 1, "bits": [ 1067 ], "attributes": { } }, "$abc$5953$li209": { "hide_name": 1, "bits": [ 1069 ], "attributes": { } }, "$abc$5953$li210": { "hide_name": 1, "bits": [ 1071 ], "attributes": { } }, "$abc$5953$li211": { "hide_name": 1, "bits": [ 1073 ], "attributes": { } }, "$abc$5953$li212": { "hide_name": 1, "bits": [ 1075 ], "attributes": { } }, "$abc$5953$li213": { "hide_name": 1, "bits": [ 1077 ], "attributes": { } }, "$abc$5953$li214": { "hide_name": 1, "bits": [ 1079 ], "attributes": { } }, "$abc$5953$li215": { "hide_name": 1, "bits": [ 1081 ], "attributes": { } }, "$abc$5953$li216": { "hide_name": 1, "bits": [ 1083 ], "attributes": { } }, "$abc$5953$li217": { "hide_name": 1, "bits": [ 1085 ], "attributes": { } }, "$abc$5953$li218": { "hide_name": 1, "bits": [ 1087 ], "attributes": { } }, "$abc$5953$li219": { "hide_name": 1, "bits": [ 1089 ], "attributes": { } }, "$abc$5953$li220": { "hide_name": 1, "bits": [ 1091 ], "attributes": { } }, "$abc$5953$li221": { "hide_name": 1, "bits": [ 1093 ], "attributes": { } }, "$abc$5953$li222": { "hide_name": 1, "bits": [ 1095 ], "attributes": { } }, "$abc$5953$li223": { "hide_name": 1, "bits": [ 1097 ], "attributes": { } }, "$abc$5953$li224": { "hide_name": 1, "bits": [ 1099 ], "attributes": { } }, "$abc$5953$li225": { "hide_name": 1, "bits": [ 1101 ], "attributes": { } }, "$abc$5953$li226": { "hide_name": 1, "bits": [ 1103 ], "attributes": { } }, "$abc$5953$li227": { "hide_name": 1, "bits": [ 1105 ], "attributes": { } }, "$abc$5953$li228": { "hide_name": 1, "bits": [ 1107 ], "attributes": { } }, "$abc$5953$li229": { "hide_name": 1, "bits": [ 1109 ], "attributes": { } }, "$abc$5953$li230": { "hide_name": 1, "bits": [ 1111 ], "attributes": { } }, "$abc$5953$li231": { "hide_name": 1, "bits": [ 1113 ], "attributes": { } }, "$abc$5953$li232": { "hide_name": 1, "bits": [ 1115 ], "attributes": { } }, "$abc$5953$li233": { "hide_name": 1, "bits": [ 1117 ], "attributes": { } }, "$abc$5953$li234": { "hide_name": 1, "bits": [ 1119 ], "attributes": { } }, "$abc$5953$li235": { "hide_name": 1, "bits": [ 1121 ], "attributes": { } }, "$abc$5953$li236": { "hide_name": 1, "bits": [ 1123 ], "attributes": { } }, "$abc$5953$li237": { "hide_name": 1, "bits": [ 1125 ], "attributes": { } }, "$abc$5953$li238": { "hide_name": 1, "bits": [ 1127 ], "attributes": { } }, "$abc$5953$li239": { "hide_name": 1, "bits": [ 1129 ], "attributes": { } }, "$abc$5953$li240": { "hide_name": 1, "bits": [ 1131 ], "attributes": { } }, "$abc$5953$li241": { "hide_name": 1, "bits": [ 1133 ], "attributes": { } }, "$abc$5953$li242": { "hide_name": 1, "bits": [ 1135 ], "attributes": { } }, "$abc$5953$li243": { "hide_name": 1, "bits": [ 1137 ], "attributes": { } }, "$abc$5953$li244": { "hide_name": 1, "bits": [ 1139 ], "attributes": { } }, "$abc$5953$li245": { "hide_name": 1, "bits": [ 1141 ], "attributes": { } }, "$abc$5953$li246": { "hide_name": 1, "bits": [ 1143 ], "attributes": { } }, "$abc$5953$li247": { "hide_name": 1, "bits": [ 1145 ], "attributes": { } }, "$abc$5953$li248": { "hide_name": 1, "bits": [ 1147 ], "attributes": { } }, "$abc$5953$li249": { "hide_name": 1, "bits": [ 1149 ], "attributes": { } }, "$abc$5953$li250": { "hide_name": 1, "bits": [ 1151 ], "attributes": { } }, "$abc$5953$li251": { "hide_name": 1, "bits": [ 1153 ], "attributes": { } }, "$abc$5953$li252": { "hide_name": 1, "bits": [ 1155 ], "attributes": { } }, "$abc$5953$li253": { "hide_name": 1, "bits": [ 1157 ], "attributes": { } }, "$abc$5953$li254": { "hide_name": 1, "bits": [ 1159 ], "attributes": { } }, "$abc$5953$li255": { "hide_name": 1, "bits": [ 1161 ], "attributes": { } }, "$abc$5953$li256": { "hide_name": 1, "bits": [ 1163 ], "attributes": { } }, "$abc$5953$li257": { "hide_name": 1, "bits": [ 1165 ], "attributes": { } }, "$abc$5953$li258": { "hide_name": 1, "bits": [ 1167 ], "attributes": { } }, "$abc$5953$li259": { "hide_name": 1, "bits": [ 1169 ], "attributes": { } }, "$abc$5953$li260": { "hide_name": 1, "bits": [ 1171 ], "attributes": { } }, "$abc$5953$li261": { "hide_name": 1, "bits": [ 1173 ], "attributes": { } }, "$abc$5953$li262": { "hide_name": 1, "bits": [ 1175 ], "attributes": { } }, "$abc$5953$li263": { "hide_name": 1, "bits": [ 1177 ], "attributes": { } }, "$abc$5953$li264": { "hide_name": 1, "bits": [ 1179 ], "attributes": { } }, "$abc$5953$li265": { "hide_name": 1, "bits": [ 1181 ], "attributes": { } }, "$abc$5953$li266": { "hide_name": 1, "bits": [ 1183 ], "attributes": { } }, "$abc$5953$li267": { "hide_name": 1, "bits": [ 1185 ], "attributes": { } }, "$abc$5953$li268": { "hide_name": 1, "bits": [ 1187 ], "attributes": { } }, "$abc$5953$li269": { "hide_name": 1, "bits": [ 1189 ], "attributes": { } }, "$abc$5953$li270": { "hide_name": 1, "bits": [ 1191 ], "attributes": { } }, "$abc$5953$li271": { "hide_name": 1, "bits": [ 1193 ], "attributes": { } }, "$abc$5953$li272": { "hide_name": 1, "bits": [ 1195 ], "attributes": { } }, "$abc$5953$li273": { "hide_name": 1, "bits": [ 1197 ], "attributes": { } }, "$abc$5953$li274": { "hide_name": 1, "bits": [ 1199 ], "attributes": { } }, "$abc$5953$li275": { "hide_name": 1, "bits": [ 1201 ], "attributes": { } }, "$abc$5953$li276": { "hide_name": 1, "bits": [ 1203 ], "attributes": { } }, "$abc$5953$li277": { "hide_name": 1, "bits": [ 1205 ], "attributes": { } }, "$abc$5953$li278": { "hide_name": 1, "bits": [ 1207 ], "attributes": { } }, "$abc$5953$li279": { "hide_name": 1, "bits": [ 1209 ], "attributes": { } }, "$abc$5953$li280": { "hide_name": 1, "bits": [ 1211 ], "attributes": { } }, "$abc$5953$li281": { "hide_name": 1, "bits": [ 1213 ], "attributes": { } }, "$abc$5953$li282": { "hide_name": 1, "bits": [ 1215 ], "attributes": { } }, "$abc$5953$li283": { "hide_name": 1, "bits": [ 1217 ], "attributes": { } }, "$abc$5953$li284": { "hide_name": 1, "bits": [ 1219 ], "attributes": { } }, "$abc$5953$li285": { "hide_name": 1, "bits": [ 1221 ], "attributes": { } }, "$abc$5953$li286": { "hide_name": 1, "bits": [ 1223 ], "attributes": { } }, "$abc$5953$li287": { "hide_name": 1, "bits": [ 1225 ], "attributes": { } }, "$abc$5953$li288": { "hide_name": 1, "bits": [ 1227 ], "attributes": { } }, "$abc$5953$li289": { "hide_name": 1, "bits": [ 1229 ], "attributes": { } }, "$abc$5953$li290": { "hide_name": 1, "bits": [ 1231 ], "attributes": { } }, "$abc$5953$li291": { "hide_name": 1, "bits": [ 1233 ], "attributes": { } }, "$abc$5953$li292": { "hide_name": 1, "bits": [ 1235 ], "attributes": { } }, "$abc$5953$li293": { "hide_name": 1, "bits": [ 1237 ], "attributes": { } }, "$abc$5953$li294": { "hide_name": 1, "bits": [ 1239 ], "attributes": { } }, "$abc$5953$li295": { "hide_name": 1, "bits": [ 1241 ], "attributes": { } }, "$abc$5953$li296": { "hide_name": 1, "bits": [ 1243 ], "attributes": { } }, "$abc$5953$li297": { "hide_name": 1, "bits": [ 1245 ], "attributes": { } }, "$abc$5953$li298": { "hide_name": 1, "bits": [ 1247 ], "attributes": { } }, "$abc$5953$li299": { "hide_name": 1, "bits": [ 1249 ], "attributes": { } }, "$abc$5953$li300": { "hide_name": 1, "bits": [ 1251 ], "attributes": { } }, "$abc$5953$li301": { "hide_name": 1, "bits": [ 1253 ], "attributes": { } }, "$abc$5953$li302": { "hide_name": 1, "bits": [ 1255 ], "attributes": { } }, "$abc$5953$li303": { "hide_name": 1, "bits": [ 1257 ], "attributes": { } }, "$abc$5953$li304": { "hide_name": 1, "bits": [ 1259 ], "attributes": { } }, "$abc$5953$li305": { "hide_name": 1, "bits": [ 1261 ], "attributes": { } }, "$abc$5953$li306": { "hide_name": 1, "bits": [ 1263 ], "attributes": { } }, "$abc$5953$li307": { "hide_name": 1, "bits": [ 1265 ], "attributes": { } }, "$abc$5953$li308": { "hide_name": 1, "bits": [ 1267 ], "attributes": { } }, "$abc$5953$li309": { "hide_name": 1, "bits": [ 1269 ], "attributes": { } }, "$abc$5953$li310": { "hide_name": 1, "bits": [ 1271 ], "attributes": { } }, "$abc$5953$li311": { "hide_name": 1, "bits": [ 1273 ], "attributes": { } }, "$abc$5953$li312": { "hide_name": 1, "bits": [ 1275 ], "attributes": { } }, "$abc$5953$li313": { "hide_name": 1, "bits": [ 1277 ], "attributes": { } }, "$abc$5953$li314": { "hide_name": 1, "bits": [ 1279 ], "attributes": { } }, "$abc$5953$li315": { "hide_name": 1, "bits": [ 1281 ], "attributes": { } }, "$abc$5953$li316": { "hide_name": 1, "bits": [ 1283 ], "attributes": { } }, "$abc$5953$li317": { "hide_name": 1, "bits": [ 1285 ], "attributes": { } }, "$abc$5953$li318": { "hide_name": 1, "bits": [ 1287 ], "attributes": { } }, "$abc$5953$li319": { "hide_name": 1, "bits": [ 1289 ], "attributes": { } }, "$abc$5953$li320": { "hide_name": 1, "bits": [ 1291 ], "attributes": { } }, "$abc$5953$li321": { "hide_name": 1, "bits": [ 1293 ], "attributes": { } }, "$abc$5953$li322": { "hide_name": 1, "bits": [ 1295 ], "attributes": { } }, "$abc$5953$li323": { "hide_name": 1, "bits": [ 1297 ], "attributes": { } }, "$abc$5953$li324": { "hide_name": 1, "bits": [ 1299 ], "attributes": { } }, "$abc$5953$li325": { "hide_name": 1, "bits": [ 1301 ], "attributes": { } }, "$abc$5953$li326": { "hide_name": 1, "bits": [ 1303 ], "attributes": { } }, "$abc$5953$li327": { "hide_name": 1, "bits": [ 1305 ], "attributes": { } }, "$abc$5953$li328": { "hide_name": 1, "bits": [ 1307 ], "attributes": { } }, "$abc$5953$li329": { "hide_name": 1, "bits": [ 1309 ], "attributes": { } }, "$abc$5953$li330": { "hide_name": 1, "bits": [ 1311 ], "attributes": { } }, "$abc$5953$li331": { "hide_name": 1, "bits": [ 1313 ], "attributes": { } }, "$abc$5953$li332": { "hide_name": 1, "bits": [ 1315 ], "attributes": { } }, "$abc$5953$li333": { "hide_name": 1, "bits": [ 1317 ], "attributes": { } }, "$abc$5953$li334": { "hide_name": 1, "bits": [ 1319 ], "attributes": { } }, "$abc$5953$li335": { "hide_name": 1, "bits": [ 1321 ], "attributes": { } }, "$abc$5953$li336": { "hide_name": 1, "bits": [ 1323 ], "attributes": { } }, "$abc$5953$li337": { "hide_name": 1, "bits": [ 1325 ], "attributes": { } }, "$abc$5953$li338": { "hide_name": 1, "bits": [ 1327 ], "attributes": { } }, "$abc$5953$li339": { "hide_name": 1, "bits": [ 1329 ], "attributes": { } }, "$abc$5953$li340": { "hide_name": 1, "bits": [ 1331 ], "attributes": { } }, "$abc$5953$li341": { "hide_name": 1, "bits": [ 1333 ], "attributes": { } }, "$abc$5953$li342": { "hide_name": 1, "bits": [ 1335 ], "attributes": { } }, "$abc$5953$li343": { "hide_name": 1, "bits": [ 1337 ], "attributes": { } }, "$abc$5953$li344": { "hide_name": 1, "bits": [ 1339 ], "attributes": { } }, "$abc$5953$li345": { "hide_name": 1, "bits": [ 1341 ], "attributes": { } }, "$abc$5953$li346": { "hide_name": 1, "bits": [ 1343 ], "attributes": { } }, "$abc$5953$li347": { "hide_name": 1, "bits": [ 1345 ], "attributes": { } }, "$abc$5953$li348": { "hide_name": 1, "bits": [ 1347 ], "attributes": { } }, "$abc$5953$li349": { "hide_name": 1, "bits": [ 1349 ], "attributes": { } }, "$abc$5953$li350": { "hide_name": 1, "bits": [ 1351 ], "attributes": { } }, "$abc$5953$li351": { "hide_name": 1, "bits": [ 1353 ], "attributes": { } }, "$abc$5953$li352": { "hide_name": 1, "bits": [ 1355 ], "attributes": { } }, "$abc$5953$li353": { "hide_name": 1, "bits": [ 1357 ], "attributes": { } }, "$abc$5953$li354": { "hide_name": 1, "bits": [ 1359 ], "attributes": { } }, "$abc$5953$li355": { "hide_name": 1, "bits": [ 1361 ], "attributes": { } }, "$abc$5953$li356": { "hide_name": 1, "bits": [ 1363 ], "attributes": { } }, "$abc$5953$li357": { "hide_name": 1, "bits": [ 1365 ], "attributes": { } }, "$abc$5953$li358": { "hide_name": 1, "bits": [ 1367 ], "attributes": { } }, "$abc$5953$li359": { "hide_name": 1, "bits": [ 1369 ], "attributes": { } }, "$abc$5953$li360": { "hide_name": 1, "bits": [ 1371 ], "attributes": { } }, "$abc$5953$li361": { "hide_name": 1, "bits": [ 1373 ], "attributes": { } }, "$abc$5953$li362": { "hide_name": 1, "bits": [ 1375 ], "attributes": { } }, "$abc$5953$li363": { "hide_name": 1, "bits": [ 1377 ], "attributes": { } }, "$abc$5953$li364": { "hide_name": 1, "bits": [ 1379 ], "attributes": { } }, "$abc$5953$li365": { "hide_name": 1, "bits": [ 1381 ], "attributes": { } }, "$abc$5953$li366": { "hide_name": 1, "bits": [ 1383 ], "attributes": { } }, "$abc$5953$li367": { "hide_name": 1, "bits": [ 1385 ], "attributes": { } }, "$abc$5953$li368": { "hide_name": 1, "bits": [ 1387 ], "attributes": { } }, "$abc$5953$li369": { "hide_name": 1, "bits": [ 1389 ], "attributes": { } }, "$abc$5953$li370": { "hide_name": 1, "bits": [ 1391 ], "attributes": { } }, "$abc$5953$li371": { "hide_name": 1, "bits": [ 1393 ], "attributes": { } }, "$abc$5953$li372": { "hide_name": 1, "bits": [ 1395 ], "attributes": { } }, "$abc$5953$li373": { "hide_name": 1, "bits": [ 1397 ], "attributes": { } }, "$abc$5953$li374": { "hide_name": 1, "bits": [ 1399 ], "attributes": { } }, "$abc$5953$li375": { "hide_name": 1, "bits": [ 1401 ], "attributes": { } }, "$abc$5953$li376": { "hide_name": 1, "bits": [ 1403 ], "attributes": { } }, "$abc$5953$li377": { "hide_name": 1, "bits": [ 1405 ], "attributes": { } }, "$abc$5953$li378": { "hide_name": 1, "bits": [ 1407 ], "attributes": { } }, "$abc$5953$li379": { "hide_name": 1, "bits": [ 1409 ], "attributes": { } }, "$abc$5953$li380": { "hide_name": 1, "bits": [ 1411 ], "attributes": { } }, "$abc$5953$li381": { "hide_name": 1, "bits": [ 1413 ], "attributes": { } }, "$abc$5953$li382": { "hide_name": 1, "bits": [ 1415 ], "attributes": { } }, "$abc$5953$li383": { "hide_name": 1, "bits": [ 1417 ], "attributes": { } }, "$abc$5953$li776": { "hide_name": 1, "bits": [ 1452 ], "attributes": { } }, "$abc$5953$li778": { "hide_name": 1, "bits": [ 1455 ], "attributes": { } }, "$abc$5953$li779": { "hide_name": 1, "bits": [ 1457 ], "attributes": { } }, "$abc$5953$li780": { "hide_name": 1, "bits": [ 1459 ], "attributes": { } }, "$abc$5953$li782": { "hide_name": 1, "bits": [ 1462 ], "attributes": { } }, "$abc$5953$li783": { "hide_name": 1, "bits": [ 1464 ], "attributes": { } }, "$abc$5953$li784": { "hide_name": 1, "bits": [ 1466 ], "attributes": { } }, "$abc$5953$li786": { "hide_name": 1, "bits": [ 1469 ], "attributes": { } }, "$abc$5953$li787": { "hide_name": 1, "bits": [ 1471 ], "attributes": { } }, "$abc$5953$li788": { "hide_name": 1, "bits": [ 1473 ], "attributes": { } }, "$abc$5953$li791": { "hide_name": 1, "bits": [ 1476 ], "attributes": { } }, "$abc$5953$lo222": { "hide_name": 1, "bits": [ 1096 ], "attributes": { } }, "$abc$5953$lo223": { "hide_name": 1, "bits": [ 1098 ], "attributes": { } }, "$abc$5953$lo224": { "hide_name": 1, "bits": [ 1100 ], "attributes": { } }, "$abc$5953$lo225": { "hide_name": 1, "bits": [ 1102 ], "attributes": { } }, "$abc$5953$lo226": { "hide_name": 1, "bits": [ 1104 ], "attributes": { } }, "$abc$5953$lo227": { "hide_name": 1, "bits": [ 1106 ], "attributes": { } }, "$abc$5953$lo228": { "hide_name": 1, "bits": [ 1108 ], "attributes": { } }, "$abc$5953$lo229": { "hide_name": 1, "bits": [ 1110 ], "attributes": { } }, "$abc$5953$lo284": { "hide_name": 1, "bits": [ 1220 ], "attributes": { } }, "$abc$5953$lo285": { "hide_name": 1, "bits": [ 1222 ], "attributes": { } }, "$abc$5953$lo286": { "hide_name": 1, "bits": [ 1224 ], "attributes": { } }, "$abc$5953$lo287": { "hide_name": 1, "bits": [ 1226 ], "attributes": { } }, "$abc$5953$lo288": { "hide_name": 1, "bits": [ 1228 ], "attributes": { } }, "$abc$5953$lo289": { "hide_name": 1, "bits": [ 1230 ], "attributes": { } }, "$abc$5953$lo290": { "hide_name": 1, "bits": [ 1232 ], "attributes": { } }, "$abc$5953$lo291": { "hide_name": 1, "bits": [ 1234 ], "attributes": { } }, "$abc$5953$lo376": { "hide_name": 1, "bits": [ 1404 ], "attributes": { } }, "$abc$5953$lo377": { "hide_name": 1, "bits": [ 1406 ], "attributes": { } }, "$abc$5953$lo378": { "hide_name": 1, "bits": [ 1408 ], "attributes": { } }, "$abc$5953$lo379": { "hide_name": 1, "bits": [ 1410 ], "attributes": { } }, "$abc$5953$lo380": { "hide_name": 1, "bits": [ 1412 ], "attributes": { } }, "$abc$5953$lo381": { "hide_name": 1, "bits": [ 1414 ], "attributes": { } }, "$abc$5953$lo382": { "hide_name": 1, "bits": [ 1416 ], "attributes": { } }, "$abc$5953$lo383": { "hide_name": 1, "bits": [ 1418 ], "attributes": { } }, "$auto$alumacc.cc:474:replace_alu$268.C": { "hide_name": 1, "bits": [ 1821, 1479, 1491, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1492, 1493, 1494, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$271.C": { "hide_name": 1, "bits": [ 1838, 1502, 1514, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1515, 1516, 1517, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$274.C": { "hide_name": 1, "bits": [ 1855, 1856, 1525, 1526, 1527, 1528, 1529, 1530, 1531 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$277.C": { "hide_name": 1, "bits": [ 1857, 1532, 1544, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1545, 1546, 1547 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$280.C": { "hide_name": 1, "bits": [ 1858, 1555, 1567, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1568, 1569, 1570 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$283.C": { "hide_name": 1, "bits": [ 1859, 1860, 1578, 1579, 1580, 1581, 1582, 1583, 1584 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$286.C": { "hide_name": 1, "bits": [ 1861, 1585, 1597, 1608, 1610, 1611, 1612, 1613, 1614, 1615, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1609 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$289.C": { "hide_name": 1, "bits": [ 1862, 1616, 1628, 1639, 1641, 1642, 1643, 1644, 1645, 1646, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1640 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$292.C": { "hide_name": 1, "bits": [ 1863, 1864, 1647, 1648, 1649, 1650, 1651, 1652, 1653 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$295.C": { "hide_name": 1, "bits": [ 1865, 1654, 1666, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1667, 1668, 1669 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$298.C": { "hide_name": 1, "bits": [ 1866, 1677, 1689, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1690, 1691, 1692 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$301.C": { "hide_name": 1, "bits": [ 1867, 1868, 1700, 1701, 1702, 1703, 1704, 1705, 1706 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$304.C": { "hide_name": 1, "bits": [ 1869, 1707, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1708, 1709, 1710, 1711, 1712, 1713, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885 ], "attributes": { "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$auto$alumacc.cc:474:replace_alu$307.C": { "hide_name": 1, "bits": [ 1886, 1887, 1722, 1723, 1724 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43" } }, "$techmap376\\right.stage_one.delayline.mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1888, 1750, 1889, 1751, 1890, 1752, 1891, 1753, 1892, 1754, 1893, 1755, 1894, 1756, 1895, 1757 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap377\\right.stage_four.delayline.mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1896, 1742, 1897, 1743, 1898, 1744, 1899, 1745, 1900, 1746, 1901, 1747, 1902, 1748, 1903, 1749 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap379\\right.stage_three.delayline.mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1904, 1766, 1905, 1767, 1906, 1768, 1907, 1769, 1908, 1770, 1909, 1771, 1910, 1772, 1911, 1773 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap380\\right.stage_one.delayline.mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1912, 1758, 1913, 1759, 1914, 1760, 1915, 1761, 1916, 1762, 1917, 1763, 1918, 1764, 1919, 1765 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap386\\right.stage_four.delayline.mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1920, 1734, 1921, 1735, 1922, 1736, 1923, 1737, 1924, 1738, 1925, 1739, 1926, 1740, 1927, 1741 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap387\\right.stage_four.delayline.mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1928, 1726, 1929, 1727, 1930, 1728, 1931, 1729, 1932, 1730, 1933, 1731, 1934, 1732, 1935, 1733 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap394\\right.stage_two.delayline.mem.0.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1936, 1790, 1937, 1791, 1938, 1792, 1939, 1793, 1940, 1794, 1941, 1795, 1942, 1796, 1943, 1797 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap398\\right.stage_two.delayline.mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1944, 1798, 1945, 1799, 1946, 1800, 1947, 1801, 1948, 1802, 1949, 1803, 1950, 1804, 1951, 1805 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap400\\right.stage_three.delayline.mem.2.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1952, 1782, 1953, 1783, 1954, 1784, 1955, 1785, 1956, 1786, 1957, 1787, 1958, 1788, 1959, 1789 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "$techmap401\\right.stage_three.delayline.mem.1.0.0.A1DATA_16": { "hide_name": 1, "bits": [ 1960, 1774, 1961, 1775, 1962, 1776, 1963, 1777, 1964, 1778, 1965, 1779, 1966, 1780, 1967, 1781 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" } }, "BCLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "hx8kbb.v:5" } }, "CSn": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "hx8kbb.v:5" } }, "CSp": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "hx8kbb.v:5" } }, "DOn": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "hx8kbb.v:5" } }, "DOp": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "hx8kbb.v:5" } }, "LRCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "hx8kbb.v:5" } }, "SCLn": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "hx8kbb.v:5" } }, "SCLp": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "hx8kbb.v:5" } }, "SDIN": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "hx8kbb.v:5" } }, "clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:7" } }, "fclk": { "hide_name": 0, "bits": [ 650 ], "attributes": { "src": "hx8kbb.v:7" } }, "finishedl": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:41" } }, "finishedr": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:51" } }, "left.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:4" } }, "left.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:5" } }, "left.done": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:7" } }, "left.dout": { "hide_name": 0, "bits": [ 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:6", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" } }, "left.load": { "hide_name": 0, "bits": [ 411 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:4" } }, "left.stage_four.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:48|mav.v:11" } }, "left.stage_four.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:48|mav.v:15|fifo.v:6" } }, "left.stage_four.delayline.push": { "hide_name": 0, "bits": [ 431 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:48|mav.v:15|fifo.v:6" } }, "left.stage_four.push": { "hide_name": 0, "bits": [ 431 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:48|mav.v:11" } }, "left.stage_one.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:11" } }, "left.stage_one.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:6" } }, "left.stage_one.delayline.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:5" } }, "left.stage_one.delayline.push": { "hide_name": 0, "bits": [ 413 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:6" } }, "left.stage_one.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:9" } }, "left.stage_one.push": { "hide_name": 0, "bits": [ 413 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:30|mav.v:11" } }, "left.stage_three.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:42|mav.v:11" } }, "left.stage_three.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:42|mav.v:15|fifo.v:6" } }, "left.stage_three.delayline.push": { "hide_name": 0, "bits": [ 425 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:42|mav.v:15|fifo.v:6" } }, "left.stage_three.push": { "hide_name": 0, "bits": [ 425 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:42|mav.v:11" } }, "left.stage_two.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:36|mav.v:11" } }, "left.stage_two.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:36|mav.v:15|fifo.v:6" } }, "left.stage_two.delayline.push": { "hide_name": 0, "bits": [ 419 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:36|mav.v:15|fifo.v:6" } }, "left.stage_two.push": { "hide_name": 0, "bits": [ 419 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:36|mav.v:11" } }, "left.timer": { "hide_name": 0, "bits": [ 648, "x", 431, "x", "x", 425, "x", "x", 419, "x", "x", 413 ], "attributes": { "src": "hx8kbb.v:43|s4filt.v:9" } }, "leftd.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:62|differ.v:4" } }, "leftd.ddt": { "hide_name": 0, "bits": [ 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031 ], "attributes": { "src": "hx8kbb.v:62|differ.v:6", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" } }, "leftd.load": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:62|differ.v:4" } }, "leftd.older.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:62|differ.v:10|fifo.v:6" } }, "leftd.older.push": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:62|differ.v:10|fifo.v:6" } }, "leftd2.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:71|differ.v:4" } }, "leftd2.ddt": { "hide_name": 0, "bits": [ 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063 ], "attributes": { "src": "hx8kbb.v:71|differ.v:6", "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" } }, "leftd2.older.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:71|differ.v:10|fifo.v:6" } }, "leftd2.older.reada": { "hide_name": 0, "bits": [ 1005, "0", "0", "0", "0" ], "attributes": { "src": "hx8kbb.v:71|differ.v:10|fifo.v:10" } }, "lrclk_": { "hide_name": 0, "bits": [ 403 ], "attributes": { "src": "hx8kbb.v:14" } }, "newdata": { "hide_name": 0, "bits": [ 411 ], "attributes": { "src": "hx8kbb.v:32" } }, "ord2dt2": { "hide_name": 0, "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ], "attributes": { "src": "hx8kbb.v:6" } }, "orddt": { "hide_name": 0, "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ], "attributes": { "src": "hx8kbb.v:6" } }, "outr": { "hide_name": 0, "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:6" } }, "pll8020.clock_in": { "hide_name": 0, "bits": [ 650 ], "attributes": { "src": "hx8kbb.v:30|pll.v:14" } }, "pll8020.clock_out": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:30|pll.v:15" } }, "pll8020.locked": { "hide_name": 0, "bits": [ 1725 ], "attributes": { "src": "hx8kbb.v:30|pll.v:16", "unused_bits": "0" } }, "right.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:4" } }, "right.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:5" } }, "right.done": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:7" } }, "right.dout": { "hide_name": 0, "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:6" } }, "right.load": { "hide_name": 0, "bits": [ 411 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:4" } }, "right.stage_four.acc": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:12" } }, "right.stage_four.acc_": { "hide_name": 0, "bits": [ 1062, 1060, 1058, 1056, 1054, 1052, 1050, 1048, 1046, 1044, 1042, 1040, 1038, 1036, 1034, 1032, 1030, 1028, 1026, 1024, 1022, 1020, 1018, 1016, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:12" } }, "right.stage_four.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:11" } }, "right.stage_four.delayed": { "hide_name": 0, "bits": [ 640, 586, 644, 642, 646, 582, 584, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:14" } }, "right.stage_four.delayline.addr": { "hide_name": 0, "bits": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:10" } }, "right.stage_four.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:6" } }, "right.stage_four.delayline.din": { "hide_name": 0, "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:5" } }, "right.stage_four.delayline.dout": { "hide_name": 0, "bits": [ 640, 586, 644, 642, 646, 582, 584, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:7" } }, "right.stage_four.delayline.push": { "hide_name": 0, "bits": [ 431 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:6" } }, "right.stage_four.delayline.reada": { "hide_name": 0, "bits": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:10" } }, "right.stage_four.din": { "hide_name": 0, "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:9" } }, "right.stage_four.dout": { "hide_name": 0, "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:10" } }, "right.stage_four.push": { "hide_name": 0, "bits": [ 431 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:48|mav.v:11" } }, "right.stage_one.acc": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:12" } }, "right.stage_one.acc_": { "hide_name": 0, "bits": [ 1372, 1370, 1368, 1366, 1364, 1362, 1360, 1358, 1356, 1354, 1352, 1350, 1348, 1346, 1344, 1342, 1340, 1338, 1336, 1334, 1332, 1330, 1328, 1458 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:12" } }, "right.stage_one.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:11" } }, "right.stage_one.delayed": { "hide_name": 0, "bits": [ 570, 568, 574, 572, 544, 542, 540, 566, 564, 562, 560, 558, 556, 580, 578, 576 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:14" } }, "right.stage_one.delayline.addr": { "hide_name": 0, "bits": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:10" } }, "right.stage_one.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:6" } }, "right.stage_one.delayline.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:5" } }, "right.stage_one.delayline.dout": { "hide_name": 0, "bits": [ 570, 568, 574, 572, 544, 542, 540, 566, 564, 562, 560, 558, 556, 580, 578, 576 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:7" } }, "right.stage_one.delayline.push": { "hide_name": 0, "bits": [ 413 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:6" } }, "right.stage_one.delayline.reada": { "hide_name": 0, "bits": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:10" } }, "right.stage_one.din": { "hide_name": 0, "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:9" } }, "right.stage_one.dout": { "hide_name": 0, "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:10" } }, "right.stage_one.push": { "hide_name": 0, "bits": [ 413 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:30|mav.v:11" } }, "right.stage_one_out": { "hide_name": 0, "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:29" } }, "right.stage_three.acc": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:12" } }, "right.stage_three.acc_": { "hide_name": 0, "bits": [ 1172, 1170, 1168, 1166, 1164, 1162, 1160, 1158, 1156, 1154, 1152, 1150, 1148, 1146, 1144, 1142, 1140, 1138, 1136, 1134, 1132, 1130, 1128, 1126, 1124, 1122, 1120, 1118, 1116, 1114, 1112, 1465 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:12" } }, "right.stage_three.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:11" } }, "right.stage_three.delayed": { "hide_name": 0, "bits": [ 508, 502, 546, 504, 506, 548, 520, 518, 516, 514, 512, 510, 532, 530, 528, 538, 536, 534, 526, 524, 522, 554, 552, 550 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:14" } }, "right.stage_three.delayline.addr": { "hide_name": 0, "bits": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:10" } }, "right.stage_three.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:6" } }, "right.stage_three.delayline.din": { "hide_name": 0, "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:5" } }, "right.stage_three.delayline.dout": { "hide_name": 0, "bits": [ 508, 502, 546, 504, 506, 548, 520, 518, 516, 514, 512, 510, 532, 530, 528, 538, 536, 534, 526, 524, 522, 554, 552, 550 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:7" } }, "right.stage_three.delayline.push": { "hide_name": 0, "bits": [ 425 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:6" } }, "right.stage_three.delayline.reada": { "hide_name": 0, "bits": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:10" } }, "right.stage_three.din": { "hide_name": 0, "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:9" } }, "right.stage_three.dout": { "hide_name": 0, "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:10" } }, "right.stage_three.push": { "hide_name": 0, "bits": [ 425 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:42|mav.v:11" } }, "right.stage_three_out": { "hide_name": 0, "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:41" } }, "right.stage_two.acc": { "hide_name": 0, "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:12" } }, "right.stage_two.acc_": { "hide_name": 0, "bits": [ 1280, 1278, 1276, 1274, 1272, 1270, 1268, 1266, 1264, 1262, 1260, 1258, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1242, 1240, 1238, 1236, 1472 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:12" } }, "right.stage_two.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:11" } }, "right.stage_two.delayed": { "hide_name": 0, "bits": [ 636, 630, 638, 628, 626, 632, 634, 462, 464, 466, 468, 492, 494, 496, 498, 500 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:14" } }, "right.stage_two.delayline.addr": { "hide_name": 0, "bits": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:10" } }, "right.stage_two.delayline.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:6" } }, "right.stage_two.delayline.din": { "hide_name": 0, "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:5" } }, "right.stage_two.delayline.dout": { "hide_name": 0, "bits": [ 636, 630, 638, 628, 626, 632, 634, 462, 464, 466, 468, 492, 494, 496, 498, 500 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:7" } }, "right.stage_two.delayline.push": { "hide_name": 0, "bits": [ 419 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:6" } }, "right.stage_two.delayline.reada": { "hide_name": 0, "bits": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:10" } }, "right.stage_two.din": { "hide_name": 0, "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:9" } }, "right.stage_two.dout": { "hide_name": 0, "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:10" } }, "right.stage_two.push": { "hide_name": 0, "bits": [ 419 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:36|mav.v:11" } }, "right.stage_two_out": { "hide_name": 0, "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:35" } }, "right.timer": { "hide_name": 0, "bits": [ 648, "x", 431, "x", "x", 425, "x", "x", 419, "x", "x", 413 ], "attributes": { "src": "hx8kbb.v:53|s4filt.v:9" } }, "rightd.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:81|differ.v:4" } }, "rightd.ddt": { "hide_name": 0, "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ], "attributes": { "src": "hx8kbb.v:81|differ.v:6" } }, "rightd.ddt_": { "hide_name": 0, "bits": [ 1450, 1448, 1446, 1444, 1442, 1440, 1438, 1436, 1434, 1432, 1430, 1428, 1426, 1424, 1422, 1420, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158 ], "attributes": { "src": "hx8kbb.v:81|differ.v:9" } }, "rightd.din": { "hide_name": 0, "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:81|differ.v:5" } }, "rightd.load": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:81|differ.v:4" } }, "rightd.old": { "hide_name": 0, "bits": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174 ], "attributes": { "src": "hx8kbb.v:81|differ.v:9" } }, "rightd.older.addr": { "hide_name": 0, "bits": [ 448, 447, 1014, 1013, 1478 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:10" } }, "rightd.older.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:6" } }, "rightd.older.din": { "hide_name": 0, "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:5" } }, "rightd.older.dout": { "hide_name": 0, "bits": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:7" } }, "rightd.older.push": { "hide_name": 0, "bits": [ 648 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:6" } }, "rightd.older.reada": { "hide_name": 0, "bits": [ 1012, 1010, 1009, 1007, 1477 ], "attributes": { "src": "hx8kbb.v:81|differ.v:10|fifo.v:10" } }, "rightd2.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:90|differ.v:4" } }, "rightd2.ddt": { "hide_name": 0, "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ], "attributes": { "src": "hx8kbb.v:90|differ.v:6" } }, "rightd2.ddt_": { "hide_name": 0, "bits": [ 1419, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205 ], "attributes": { "src": "hx8kbb.v:90|differ.v:9" } }, "rightd2.din": { "hide_name": 0, "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ], "attributes": { "src": "hx8kbb.v:90|differ.v:5" } }, "rightd2.old": { "hide_name": 0, "bits": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221 ], "attributes": { "src": "hx8kbb.v:90|differ.v:9" } }, "rightd2.older.clk": { "hide_name": 0, "bits": [ 920 ], "attributes": { "src": "hx8kbb.v:90|differ.v:10|fifo.v:6" } }, "rightd2.older.din": { "hide_name": 0, "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ], "attributes": { "src": "hx8kbb.v:90|differ.v:10|fifo.v:5" } }, "rightd2.older.dout": { "hide_name": 0, "bits": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221 ], "attributes": { "src": "hx8kbb.v:90|differ.v:10|fifo.v:7" } }, "rightd2.older.reada": { "hide_name": 0, "bits": [ 1005, "0", "0", "0", "0" ], "attributes": { "src": "hx8kbb.v:90|differ.v:10|fifo.v:10" } }, "rxd.BCLK": { "hide_name": 0, "bits": [ 650 ], "attributes": { "src": "hx8kbb.v:33|lrreceiver.v:2" } }, "rxd.DATA": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:33|lrreceiver.v:4" } }, "rxd.LRCLK": { "hide_name": 0, "bits": [ 403 ], "attributes": { "src": "hx8kbb.v:33|lrreceiver.v:2" } }, "rxd.SDIN": { "hide_name": 0, "bits": [ 726 ], "attributes": { "src": "hx8kbb.v:33|lrreceiver.v:2" } }, "rxd.STROBE": { "hide_name": 0, "bits": [ 411 ], "attributes": { "src": "hx8kbb.v:33|lrreceiver.v:5" } }, "sample": { "hide_name": 0, "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ], "attributes": { "src": "hx8kbb.v:6" } }, "sdin_": { "hide_name": 0, "bits": [ 726 ], "attributes": { "src": "hx8kbb.v:22" } }, "txer.clk": { "hide_name": 0, "bits": [ 650 ], "attributes": { "src": "hx8kbb.v:99|spimastertx.v:2" } }, "txer.tx": { "hide_name": 0, "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999 ], "attributes": { "src": "hx8kbb.v:99|spimastertx.v:4" } } } } } }