read_verilog -DCIRCULAR_INOUT top.v synth_ice40 -json test7.json