read_verilog -DCIRCULAR_ASSIGN top.v synth_ice40 -json test8.json