`timescale 1 ns / 1 ps `default_nettype none module top(output D1); wire [1:0] buffers_in, buffers_out; assign buffers_in = {buffers_out[0:0], ~buffers_out[1]}; SB_LUT4 #( .LUT_INIT(16'd2) ) buffers [1:0] ( .O(buffers_out), .I0(buffers_in), .I1(1'b0), .I2(1'b0), .I3(1'b0) ); wire random = ~buffers_out[1]; assign D1 = random; endmodule // top