aboutsummaryrefslogtreecommitdiffstats
path: root/.github/workflows/interchange_ci.yml.disable
diff options
context:
space:
mode:
Diffstat (limited to '.github/workflows/interchange_ci.yml.disable')
-rw-r--r--.github/workflows/interchange_ci.yml.disable132
1 files changed, 132 insertions, 0 deletions
diff --git a/.github/workflows/interchange_ci.yml.disable b/.github/workflows/interchange_ci.yml.disable
new file mode 100644
index 00000000..27b19c5a
--- /dev/null
+++ b/.github/workflows/interchange_ci.yml.disable
@@ -0,0 +1,132 @@
+name: FPGA interchange CI tests
+
+on: [push, pull_request]
+
+jobs:
+ Build-yosys:
+ runs-on: ubuntu-latest
+ steps:
+
+ - uses: actions/checkout@v2
+ with:
+ submodules: recursive
+
+ - uses: actions/setup-python@v2
+
+ - name: Install
+ run: |
+ sudo apt-get update
+ sudo apt-get install git make cmake libboost-all-dev python3-dev libeigen3-dev tcl-dev clang bison flex swig locales libtinfo-dev
+
+ - name: ccache
+ uses: hendrikmuhs/ccache-action@v1
+
+ - name: Get yosys
+ run: |
+ git clone https://github.com/YosysHQ/yosys.git
+ cd yosys
+ echo "YOSYS_SHA=$(git rev-parse HEAD)" >> $GITHUB_ENV
+
+ - name: Cache yosys installation
+ uses: actions/cache@v2
+ id: cache-yosys
+ with:
+ path: .yosys
+ key: cache-yosys-${{ env.YOSYS_SHA }}
+
+ - name: Build yosys
+ run: |
+ export PATH="/usr/lib/ccache:/usr/local/opt/ccache/libexec:$PATH"
+ source ./.github/ci/build_interchange.sh
+ build_yosys
+ if: steps.cache-yosys.outputs.cache-hit != 'true'
+
+ Build-nextpnr:
+ runs-on: ubuntu-latest
+ steps:
+
+ - uses: actions/checkout@v2
+ with:
+ submodules: recursive
+
+ - uses: actions/setup-python@v2
+
+ - name: Install
+ run: |
+ sudo apt-get update
+ sudo apt-get install git make cmake libboost-all-dev python3-dev libeigen3-dev tcl-dev clang bison flex swig
+
+ - name: ccache
+ uses: hendrikmuhs/ccache-action@v1
+
+ - name: Execute build nextpnr
+ run: |
+ export PATH="/usr/lib/ccache:/usr/local/opt/ccache/libexec:$PATH"
+ source ./.github/ci/build_interchange.sh
+ build_nextpnr
+
+ Run-Tests:
+ runs-on: ubuntu-latest
+ needs: [Build-yosys, Build-nextpnr]
+ strategy:
+ # Don't terminate jobs when one fails. This is important when
+ # debugging CI failures.
+ fail-fast: false
+ matrix:
+ device: [xc7a35t, xc7a100t, xc7a200t, xc7z010, LIFCL-17, LIFCL-40]
+ steps:
+
+ - uses: actions/checkout@v2
+ with:
+ submodules: recursive
+
+ - uses: actions/setup-python@v2
+
+ - name: Install
+ run: |
+ sudo apt-get update
+ sudo apt-get install git make cmake libboost-all-dev python3-dev libeigen3-dev tcl-dev clang bison flex swig
+
+ - name: ccache
+ uses: hendrikmuhs/ccache-action@v1
+
+ - name: Get yosys
+ run: |
+ git clone https://github.com/YosysHQ/yosys.git
+ cd yosys
+ echo "YOSYS_SHA=$(git rev-parse HEAD)" >> $GITHUB_ENV
+
+ - name: Cache yosys installation
+ uses: actions/cache@v2
+ id: cache-yosys
+ with:
+ path: .yosys
+ key: cache-yosys-${{ env.YOSYS_SHA }}
+
+ - name: Build yosys
+ run: |
+ export PATH="/usr/lib/ccache:/usr/local/opt/ccache/libexec:$PATH"
+ source ./.github/ci/build_interchange.sh
+ build_yosys
+ if: steps.cache-yosys.outputs.cache-hit != 'true'
+
+ - name: Execute build interchange script
+ env:
+ RAPIDWRIGHT_PATH: ${{ github.workspace }}/RapidWright
+ PYTHON_INTERCHANGE_PATH: ${{ github.workspace }}/python-fpga-interchange
+ PYTHON_INTERCHANGE_TAG: v0.0.20
+ PRJOXIDE_REVISION: 318331f8b30c2e2a31cc41d51f104b671e180a8a
+ DEVICE: ${{ matrix.device }}
+ run: |
+ export PATH="/usr/lib/ccache:/usr/local/opt/ccache/libexec:$PATH"
+ source ./.github/ci/build_interchange.sh
+ build_nextpnr && get_dependencies
+
+ - name: Run tests
+ env:
+ DEVICE: ${{ matrix.device }}
+ run: |
+ export PATH="$GITHUB_WORKSPACE/.yosys/usr/local/bin:$PATH"
+ which yosys
+ cd build
+ make all-$DEVICE-tests -j`nproc`