aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/wire.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/wire.ys')
-rw-r--r--ecp5/synth/wire.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/ecp5/synth/wire.ys b/ecp5/synth/wire.ys
new file mode 100644
index 00000000..f916588b
--- /dev/null
+++ b/ecp5/synth/wire.ys
@@ -0,0 +1,9 @@
+read_verilog wire.v
+read_verilog -lib cells.v
+synth -top top
+abc -lut 4
+techmap -map simple_map.v
+splitnets
+opt_clean
+stat
+write_json wire.json