aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/ff/CMakeLists.txt
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/ff/CMakeLists.txt')
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt8
1 files changed, 4 insertions, 4 deletions
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index 30ae0417..953e6038 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name ff_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc ff.xdc
+ xdc ff_basys3.xdc
sources ff.v
)
add_interchange_test(
name ff_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc ff.xdc
+ xdc ff_arty.xdc
sources ff.v
)