aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange')
-rw-r--r--fpga_interchange/examples/common.mk2
-rw-r--r--fpga_interchange/examples/create_bba/Makefile7
2 files changed, 5 insertions, 4 deletions
diff --git a/fpga_interchange/examples/common.mk b/fpga_interchange/examples/common.mk
index 8a8dc471..967ae367 100644
--- a/fpga_interchange/examples/common.mk
+++ b/fpga_interchange/examples/common.mk
@@ -1,6 +1,6 @@
NEXTPNR_PATH := $(realpath ../../..)
NEXTPNR_BIN := $(NEXTPNR_PATH)/build/nextpnr-fpga_interchange
-BBA_PATH := $(realpath ..)/create_bba/build/test.bin
+BBA_PATH := $(realpath ..)/create_bba/build/xc7a35tcpg236-1.bin
RAPIDWRIGHT_PATH := $(realpath ..)/create_bba/build/RapidWright
INTERCHANGE_PATH := $(realpath ..)/create_bba/build/fpga-interchange-schema/interchange
diff --git a/fpga_interchange/examples/create_bba/Makefile b/fpga_interchange/examples/create_bba/Makefile
index 43f43a29..3033daca 100644
--- a/fpga_interchange/examples/create_bba/Makefile
+++ b/fpga_interchange/examples/create_bba/Makefile
@@ -63,20 +63,21 @@ $(NEXTPNR_PATH)/build:
mkdir $(NEXTPNR_PATH)/build
$(NEXTPNR_PATH)/build/bba/bbasm: | $(NEXTPNR_PATH)/build
- $(NEXTPNR_PATH)/build && cmake -DARCH=fpga_interchange ..
+ cd $(NEXTPNR_PATH)/build && cmake -DARCH=fpga_interchange ..
make -j -C $(NEXTPNR_PATH)/build
$(NEXTPNR_PATH)/fpga_interchange/chipdb.bba: build/.setup
+ mkdir -p build/nextpnr/fpga_interchange
source build/env/bin/activate && \
cd build/python-fpga-interchange/ && \
make \
-f Makefile.rapidwright \
- NEXTPNR_PATH=$(NEXTPNR_PATH) \
+ NEXTPNR_PATH=$(realpath .)/build/nextpnr \
RAPIDWRIGHT_PATH=$(RAPIDWRIGHT_PATH) \
INTERCHANGE_PATH=$(INTERCHANGE_PATH)
$(BBA_PATH): $(NEXTPNR_PATH)/build/bba/bbasm $(NEXTPNR_PATH)/fpga_interchange/chipdb.bba
- $(NEXTPNR_PATH)/build/bba/bbasm -l $(NEXTPNR_PATH)/fpga_interchange/chipdb.bba $(BBA_PATH)
+ $(NEXTPNR_PATH)/build/bba/bbasm -l build/nextpnr/fpga_interchange/chipdb.bba $(BBA_PATH)
chipdb: $(BBA_PATH)