aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* ecp5: DELAYF/G fixesDavid Shah2019-02-241-2/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add list of supported primitivesDavid Shah2019-02-241-0/+47
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Connect unused DQSBUF inputs to GNDDavid Shah2019-02-241-14/+30
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Compute derived constraints iterativelyDavid Shah2019-02-241-52/+79
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add support for 'FREQUENCY NET' and 'FREQUENCY PORT' in lpfDavid Shah2019-02-241-1/+24
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Derived constraint support for PLLs, clock dividers and oscillatorsDavid Shah2019-02-242-3/+119
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Fixes for litedramDavid Shah2019-02-243-8/+19
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add DIFFRESISTOR supportDavid Shah2019-02-241-0/+2
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add support for referenced inputsDavid Shah2019-02-241-5/+58
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add DELAYF/DELAYG supportDavid Shah2019-02-244-13/+151
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add TERMINATION supportDavid Shah2019-02-241-0/+16
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add DDRDLLA supportDavid Shah2019-02-242-0/+42
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ECLKSYNCB supportDavid Shah2019-02-243-2/+34
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add TSHX2DQSA supportDavid Shah2019-02-241-4/+5
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add TSHX2DQA supportDavid Shah2019-02-241-1/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add IDDRX2DQA supportDavid Shah2019-02-241-0/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ODDRX2DQSB suppportDavid Shah2019-02-241-4/+4
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ODDRX2DQA supportDavid Shah2019-02-241-3/+36
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Helper functions and bitstream for DQSDavid Shah2019-02-242-0/+63
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Constraint checker and placer for DQSBUFMDavid Shah2019-02-241-1/+57
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add OSHX2A supportDavid Shah2019-02-241-0/+26
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add IDDRX2F supportDavid Shah2019-02-241-2/+25
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Packing of ODDRX2FDavid Shah2019-02-243-5/+119
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Helper functions for DQS and ECLKDavid Shah2019-02-245-1/+153
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add timing data for DQS-related cellsDavid Shah2019-02-241-0/+27
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add DQS groupings to databaseDavid Shah2019-02-242-4/+15
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #241 from corecode/u4kDavid Shah2019-02-2314-16/+58
|\ | | | | ice40: support u4k
| * ice40: support u4kSimon Schubert2019-02-2314-16/+58
|/
* Merge pull request #238 from YosysHQ/padin_gb_tmgfixDavid Shah2019-02-202-1/+1
|\ | | | | ice40: Fix timing class of 'padin' GB outputs
| * ice40: Fix timing class of 'padin' GB outputsDavid Shah2019-02-202-1/+1
|/ | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Fix typoDavid Shah2019-02-141-0/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #228 from YosysHQ/ecp5_embed_baseDavid Shah2019-02-143-4/+2683
|\ | | | | ecp5: Embed baseconfigs in nextpnr
| * ecp5: Add --basecfg deprecation warningDavid Shah2019-02-081-2/+11
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * ecp5: Embed baseconfigDavid Shah2019-02-082-2/+2672
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Merge pull request #227 from YosysHQ/regressionsEddie Hung2019-02-1312-814/+6
|\ \ | | | | | | [tests] Move tests subdir to submodule, also add regression tests of fixed ice40 issues
| * | [tests] Update submoduleEddie Hung2019-02-121-0/+0
| | |
| * | [tests] Update submoduleEddie Hung2019-02-121-0/+0
| | |
| * | [tests] Run ice40 regressions in parallelEddie Hung2019-02-111-1/+1
| | |
| * | [tests] Do git submodule sync/updateEddie Hung2019-02-111-0/+1
| | |
| * | [timing] Do I need test in the task name too?Eddie Hung2019-02-111-1/+1
| | |
| * | [tests] Retry .cirrus.ymlEddie Hung2019-02-111-1/+1
| | |
| * | Merge branch 'master' into regressionsEddie Hung2019-02-111-4/+11
| |\ \
| * | | [tests] Add to CIEddie Hung2019-02-091-1/+2
| | | |
| * | | [tests] Update submoduleEddie Hung2019-02-091-0/+0
| | | |
| * | | [tests] Update submoduleEddie Hung2019-02-091-0/+0
| | | |
| * | | Merge remote-tracking branch 'origin/err145' into regressionsEddie Hung2019-02-091-0/+2
| |\ \ \
| * | | | [tests] Update submoduleEddie Hung2019-02-091-0/+0
| | | | |
| * | | | Merge remote-tracking branch 'origin/master' into regressionsEddie Hung2019-02-099-7/+177
| |\ \ \ \
| * | | | | [tests] Update submoduleEddie Hung2019-02-081-0/+0
| | | | | |
| * | | | | [tests] Update submoduleEddie Hung2019-02-071-0/+0
| | | | | |