aboutsummaryrefslogtreecommitdiffstats
path: root/ice40
Commit message (Collapse)AuthorAgeFilesLines
* Revert "Remove legacy access to state via Arch"Sergiusz Bazanski2018-07-146-65/+120
| | | | This reverts commit 18b4b316782035daa259d65d26ea733ca4d16bea.
* Revert "Remove unimplemented pybindings (for now)"Sergiusz Bazanski2018-07-141-0/+32
| | | | This reverts commit 53393b993fb211a3ce98262b0dd636d2e8420d2d.
* Revert "Undo accidental picorv32.sh commit"Sergiusz Bazanski2018-07-141-4/+4
| | | | This reverts commit 98c594885698b557311ac84195599b35968719e0.
* Undo accidental picorv32.sh commitSergiusz Bazanski2018-07-141-4/+4
|
* Remove unimplemented pybindings (for now)Sergiusz Bazanski2018-07-141-32/+0
| | | | | We need to re-jigger the template magic in common/pywrappers.h to be proxy context aware.
* Remove legacy access to state via ArchSergiusz Bazanski2018-07-146-120/+65
|
* Move read methods to ReadMethods, remove some legacy access to ArchSergiusz Bazanski2018-07-142-4/+5
|
* Add read/mutate context stubs for ECP5Sergiusz Bazanski2018-07-141-4/+0
|
* clang-formatSergiusz Bazanski2018-07-142-109/+50
|
* Refactor proxies to nextpnr.Sergiusz Bazanski2018-07-144-156/+63
|
* Make GUI nice and smooth.Sergiusz Bazanski2018-07-133-6/+15
|
* Slight simplification of proxy codeSergiusz Bazanski2018-07-132-68/+93
|
* Comment arch.hSergiusz Bazanski2018-07-131-1/+34
|
* Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnr into ↵Sergiusz Bazanski2018-07-139-100/+170
|\ | | | | | | q3k/lock-the-things
| * Improve handling of iCE40 BRAM belsClifford Wolf2018-07-131-8/+36
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fix iCE40 wire gfx decalsClifford Wolf2018-07-131-25/+78
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fix ice40 gfx wire indicesClifford Wolf2018-07-131-1/+1
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Add ctx->pack() APIClifford Wolf2018-07-135-37/+8
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fix Ui/Decal handling of active/inactive arch objectsClifford Wolf2018-07-133-3/+23
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fixing hash link problemDavid Shah2018-07-131-1/+1
| | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
* | Introduce proxies for locked access to ctxSergiusz Bazanski2018-07-134-372/+520
| |
* | Make PnR use Unlocked methodsSergiusz Bazanski2018-07-134-34/+129
| |
* | Make ice40::Arch thread-safeSergiusz Bazanski2018-07-133-11/+44
|/ | | | | | | | | | | We move all non-chip data to be private and guard them with an R/W mutex. We then modify all calls that access these fields to lock/shared_lock the mutex as required. Profiling the code before and after is an exercise left to the reader :).
* Fixed initial title and actions after newMiodrag Milanovic2018-07-131-1/+1
|
* Use command line parameters settings for GUI as well.Miodrag Milanovic2018-07-131-73/+82
|
* Remove legacy graphics APISergiusz Bazanski2018-07-121-16/+16
| | | | | For now we do not optimize the OpenGL renderer against the new decal API, but this can be done in the future.
* Updates from clang-formatClifford Wolf2018-07-127-41/+35
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix ice40 wire segments in lutff complexClifford Wolf2018-07-121-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve iCE40 wire database and gfxClifford Wolf2018-07-126-50/+72
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add Groups APIClifford Wolf2018-07-123-12/+115
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add missing wires to ice40 gfxClifford Wolf2018-07-111-2/+54
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Deterministic chipdb blobsClifford Wolf2018-07-111-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add ctx->place() APIClifford Wolf2018-07-113-2/+8
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add ctx->route() APIClifford Wolf2018-07-113-3/+14
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Unflip iCE40 tile graphicsClifford Wolf2018-07-112-54/+54
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* New refreshUi APIClifford Wolf2018-07-111-6/+0
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge branch 'ice40gfx' into 'master'Clifford Wolf2018-07-115-72/+1034
|\ | | | | | | | | Ice40gfx See merge request SymbioticEDA/nextpnr!7
| * Add GUI Decals APIClifford Wolf2018-07-113-100/+139
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnr into ice40gfxClifford Wolf2018-07-101-0/+4
| |\
| * | Improve ic40 gfxClifford Wolf2018-07-103-164/+216
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add ice40 LC output gfxClifford Wolf2018-07-092-3/+30
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Make logic cell positioning a constantDavid Shah2018-07-093-6/+12
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Adding all LUT input wiresDavid Shah2018-07-091-3/+6
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Add constants for switchbox locationsDavid Shah2018-07-092-28/+63
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Reorder gfx.h, add LUT0 inputsDavid Shah2018-07-092-22/+35
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Add ice40 gfx right vertical span-4Clifford Wolf2018-07-092-54/+78
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Vertical wires and span-12 wiresClifford Wolf2018-07-092-2/+158
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Make LCs smaller and move them downDavid Shah2018-07-091-6/+6
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Add switchboxesDavid Shah2018-07-091-3/+41
| | | | | | | | | | | | Signed-off-by: David Shah <davey1576@gmail.com>
| * | Add horizontal ice40 span4 wire gfxClifford Wolf2018-07-091-0/+49
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>