From c9969c15938a6146e058bb3991c58ce1cf81ea42 Mon Sep 17 00:00:00 2001 From: David Shah Date: Thu, 8 Aug 2019 21:35:55 +0100 Subject: Add deprecation warning for default packages Signed-off-by: David Shah --- ecp5/main.cc | 5 ++++- ice40/examples/blinky/blinky.sh | 2 +- ice40/examples/floorplan/floorplan.sh | 2 +- ice40/main.cc | 4 +++- 4 files changed, 9 insertions(+), 4 deletions(-) diff --git a/ecp5/main.cc b/ecp5/main.cc index 4ae0e27d..24a98df4 100644 --- a/ecp5/main.cc +++ b/ecp5/main.cc @@ -220,8 +220,11 @@ std::unique_ptr ECP5CommandHandler::createContext(std::unordered_map blinky_chip.v iverilog -o blinky_tb blinky_chip.v blinky_tb.v diff --git a/ice40/examples/floorplan/floorplan.sh b/ice40/examples/floorplan/floorplan.sh index e0ed7a64..49461f8d 100755 --- a/ice40/examples/floorplan/floorplan.sh +++ b/ice40/examples/floorplan/floorplan.sh @@ -1,6 +1,6 @@ #!/usr/bin/env bash set -ex yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v -../../../nextpnr-ice40 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py +../../../nextpnr-ice40 --package sg48 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py icepack floorplan.asc floorplan.bin iceprog floorplan.bin diff --git a/ice40/main.cc b/ice40/main.cc index b656f932..5e5bf6ad 100644 --- a/ice40/main.cc +++ b/ice40/main.cc @@ -157,7 +157,6 @@ std::unique_ptr Ice40CommandHandler::createContext(std::unordered_map(); - if (values.find("arch.name") != values.end()) { std::string arch_name = values["arch.name"].as_string(); if (arch_name != "ice40") @@ -208,6 +207,9 @@ std::unique_ptr Ice40CommandHandler::createContext(std::unordered_map(new Context(chipArgs)); for (auto &val : values) ctx->settings[ctx->id(val.first)] = val.second; -- cgit v1.2.3