From e4210e7fd31981270c488d64e884a461e039c7d3 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Fri, 20 Dec 2019 09:05:58 +0100 Subject: Add all missing wires --- ecp5/gfx.h | 1557 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 1556 insertions(+), 1 deletion(-) (limited to 'ecp5/gfx.h') diff --git a/ecp5/gfx.h b/ecp5/gfx.h index 6044a459..cc01ad9b 100644 --- a/ecp5/gfx.h +++ b/ecp5/gfx.h @@ -2207,7 +2207,1562 @@ enum GfxTileWireId TILE_WIRE_R_HPBX1200, TILE_WIRE_R_HPBX1300, TILE_WIRE_R_HPBX1400, - TILE_WIRE_R_HPBX1500 + TILE_WIRE_R_HPBX1500, + + + + + + + + + + + + + + + + + + + + + + + + + + + + + TILE_WIRE_JA0_CIBTEST, + TILE_WIRE_JA1_CIBTEST, + TILE_WIRE_JA2_CIBTEST, + TILE_WIRE_JA3_CIBTEST, + TILE_WIRE_JA4_CIBTEST, + TILE_WIRE_JA5_CIBTEST, + TILE_WIRE_JA6_CIBTEST, + TILE_WIRE_JA7_CIBTEST, + TILE_WIRE_JB0_CIBTEST, + TILE_WIRE_JB1_CIBTEST, + TILE_WIRE_JB2_CIBTEST, + TILE_WIRE_JB3_CIBTEST, + TILE_WIRE_JB4_CIBTEST, + TILE_WIRE_JB5_CIBTEST, + TILE_WIRE_JB6_CIBTEST, + TILE_WIRE_JB7_CIBTEST, + TILE_WIRE_JC0_CIBTEST, + TILE_WIRE_JC1_CIBTEST, + TILE_WIRE_JC2_CIBTEST, + TILE_WIRE_JC3_CIBTEST, + TILE_WIRE_JC4_CIBTEST, + TILE_WIRE_JC5_CIBTEST, + TILE_WIRE_JC6_CIBTEST, + TILE_WIRE_JC7_CIBTEST, + TILE_WIRE_JCE0_CIBTEST, + TILE_WIRE_JCE1_CIBTEST, + TILE_WIRE_JCE2_CIBTEST, + TILE_WIRE_JCE3_CIBTEST, + TILE_WIRE_JCLK0_CIBTEST, + TILE_WIRE_JCLK1_CIBTEST, + TILE_WIRE_JD0_CIBTEST, + TILE_WIRE_JD1_CIBTEST, + TILE_WIRE_JD2_CIBTEST, + TILE_WIRE_JD3_CIBTEST, + TILE_WIRE_JD4_CIBTEST, + TILE_WIRE_JD5_CIBTEST, + TILE_WIRE_JD6_CIBTEST, + TILE_WIRE_JD7_CIBTEST, + TILE_WIRE_JF0_CIBTEST, + TILE_WIRE_JF1_CIBTEST, + TILE_WIRE_JF2_CIBTEST, + TILE_WIRE_JF3_CIBTEST, + TILE_WIRE_JF4_CIBTEST, + TILE_WIRE_JF5_CIBTEST, + TILE_WIRE_JF6_CIBTEST, + TILE_WIRE_JF7_CIBTEST, + TILE_WIRE_JLSR0_CIBTEST, + TILE_WIRE_JLSR1_CIBTEST, + TILE_WIRE_JM0_CIBTEST, + TILE_WIRE_JM1_CIBTEST, + TILE_WIRE_JM2_CIBTEST, + TILE_WIRE_JM3_CIBTEST, + TILE_WIRE_JM4_CIBTEST, + TILE_WIRE_JM5_CIBTEST, + TILE_WIRE_JM6_CIBTEST, + TILE_WIRE_JM7_CIBTEST, + TILE_WIRE_JQ0_CIBTEST, + TILE_WIRE_JQ1_CIBTEST, + TILE_WIRE_JQ2_CIBTEST, + TILE_WIRE_JQ3_CIBTEST, + TILE_WIRE_JQ4_CIBTEST, + TILE_WIRE_JQ5_CIBTEST, + TILE_WIRE_JQ6_CIBTEST, + TILE_WIRE_JQ7_CIBTEST, + + + TILE_WIRE_JA0_MULT9, + TILE_WIRE_JA1_MULT9, + TILE_WIRE_JA2_MULT9, + TILE_WIRE_JA3_MULT9, + TILE_WIRE_JA4_MULT9, + TILE_WIRE_JA5_MULT9, + TILE_WIRE_JA6_MULT9, + TILE_WIRE_JA7_MULT9, + TILE_WIRE_JA8_MULT9, + TILE_WIRE_JB0_MULT9, + TILE_WIRE_JB1_MULT9, + TILE_WIRE_JB2_MULT9, + TILE_WIRE_JB3_MULT9, + TILE_WIRE_JB4_MULT9, + TILE_WIRE_JB5_MULT9, + TILE_WIRE_JB6_MULT9, + TILE_WIRE_JB7_MULT9, + TILE_WIRE_JB8_MULT9, + TILE_WIRE_JC0_MULT9, + TILE_WIRE_JC1_MULT9, + TILE_WIRE_JC2_MULT9, + TILE_WIRE_JC3_MULT9, + TILE_WIRE_JC4_MULT9, + TILE_WIRE_JC5_MULT9, + TILE_WIRE_JC6_MULT9, + TILE_WIRE_JC7_MULT9, + TILE_WIRE_JC8_MULT9, + TILE_WIRE_JCE0_MULT9, + TILE_WIRE_JCE1_MULT9, + TILE_WIRE_JCE2_MULT9, + TILE_WIRE_JCE3_MULT9, + TILE_WIRE_JCLK0_MULT9, + TILE_WIRE_JCLK1_MULT9, + TILE_WIRE_JCLK2_MULT9, + TILE_WIRE_JCLK3_MULT9, + TILE_WIRE_JP0_MULT9, + TILE_WIRE_JP1_MULT9, + TILE_WIRE_JP2_MULT9, + TILE_WIRE_JP3_MULT9, + TILE_WIRE_JP4_MULT9, + TILE_WIRE_JP5_MULT9, + TILE_WIRE_JP6_MULT9, + TILE_WIRE_JP7_MULT9, + TILE_WIRE_JP8_MULT9, + TILE_WIRE_JP9_MULT9, + TILE_WIRE_JP10_MULT9, + TILE_WIRE_JP11_MULT9, + TILE_WIRE_JP12_MULT9, + TILE_WIRE_JP13_MULT9, + TILE_WIRE_JP14_MULT9, + TILE_WIRE_JP15_MULT9, + TILE_WIRE_JP16_MULT9, + TILE_WIRE_JP17_MULT9, + TILE_WIRE_JROA0_MULT9, + TILE_WIRE_JROA1_MULT9, + TILE_WIRE_JROA2_MULT9, + TILE_WIRE_JROA3_MULT9, + TILE_WIRE_JROA4_MULT9, + TILE_WIRE_JROA5_MULT9, + TILE_WIRE_JROA6_MULT9, + TILE_WIRE_JROA7_MULT9, + TILE_WIRE_JROA8_MULT9, + TILE_WIRE_JROB0_MULT9, + TILE_WIRE_JROB1_MULT9, + TILE_WIRE_JROB2_MULT9, + TILE_WIRE_JROB3_MULT9, + TILE_WIRE_JROB4_MULT9, + TILE_WIRE_JROB5_MULT9, + TILE_WIRE_JROB6_MULT9, + TILE_WIRE_JROB7_MULT9, + TILE_WIRE_JROB8_MULT9, + TILE_WIRE_JROC0_MULT9, + TILE_WIRE_JROC1_MULT9, + TILE_WIRE_JROC2_MULT9, + TILE_WIRE_JROC3_MULT9, + TILE_WIRE_JROC4_MULT9, + TILE_WIRE_JROC5_MULT9, + TILE_WIRE_JROC6_MULT9, + TILE_WIRE_JROC7_MULT9, + TILE_WIRE_JROC8_MULT9, + TILE_WIRE_JRST0_MULT9, + TILE_WIRE_JRST1_MULT9, + TILE_WIRE_JRST2_MULT9, + TILE_WIRE_JRST3_MULT9, + TILE_WIRE_JSIGNEDA_MULT9, + TILE_WIRE_JSIGNEDB_MULT9, + TILE_WIRE_JSIGNEDP_MULT9, + TILE_WIRE_JSOURCEA_MULT9, + TILE_WIRE_JSOURCEB_MULT9, + TILE_WIRE_JSRIA0_MULT9, + TILE_WIRE_JSRIA1_MULT9, + TILE_WIRE_JSRIA2_MULT9, + TILE_WIRE_JSRIA3_MULT9, + TILE_WIRE_JSRIA4_MULT9, + TILE_WIRE_JSRIA5_MULT9, + TILE_WIRE_JSRIA6_MULT9, + TILE_WIRE_JSRIA7_MULT9, + TILE_WIRE_JSRIA8_MULT9, + TILE_WIRE_JSRIB0_MULT9, + TILE_WIRE_JSRIB1_MULT9, + TILE_WIRE_JSRIB2_MULT9, + TILE_WIRE_JSRIB3_MULT9, + TILE_WIRE_JSRIB4_MULT9, + TILE_WIRE_JSRIB5_MULT9, + TILE_WIRE_JSRIB6_MULT9, + TILE_WIRE_JSRIB7_MULT9, + TILE_WIRE_JSRIB8_MULT9, + TILE_WIRE_JSROA0_MULT9, + TILE_WIRE_JSROA1_MULT9, + TILE_WIRE_JSROA2_MULT9, + TILE_WIRE_JSROA3_MULT9, + TILE_WIRE_JSROA4_MULT9, + TILE_WIRE_JSROA5_MULT9, + TILE_WIRE_JSROA6_MULT9, + TILE_WIRE_JSROA7_MULT9, + TILE_WIRE_JSROA8_MULT9, + TILE_WIRE_JSROB0_MULT9, + TILE_WIRE_JSROB1_MULT9, + TILE_WIRE_JSROB2_MULT9, + TILE_WIRE_JSROB3_MULT9, + TILE_WIRE_JSROB4_MULT9, + TILE_WIRE_JSROB5_MULT9, + TILE_WIRE_JSROB6_MULT9, + TILE_WIRE_JSROB7_MULT9, + TILE_WIRE_JSROB8_MULT9, + + + TILE_WIRE_JC0_PRADD9, + TILE_WIRE_JC1_PRADD9, + TILE_WIRE_JC2_PRADD9, + TILE_WIRE_JC3_PRADD9, + TILE_WIRE_JC4_PRADD9, + TILE_WIRE_JC5_PRADD9, + TILE_WIRE_JC6_PRADD9, + TILE_WIRE_JC7_PRADD9, + TILE_WIRE_JC8_PRADD9, + TILE_WIRE_JCE0_PRADD9, + TILE_WIRE_JCE1_PRADD9, + TILE_WIRE_JCE2_PRADD9, + TILE_WIRE_JCE3_PRADD9, + TILE_WIRE_JCLK0_PRADD9, + TILE_WIRE_JCLK1_PRADD9, + TILE_WIRE_JCLK2_PRADD9, + TILE_WIRE_JCLK3_PRADD9, + TILE_WIRE_JOPPRE_PRADD9, + TILE_WIRE_JPA0_PRADD9, + TILE_WIRE_JPA1_PRADD9, + TILE_WIRE_JPA2_PRADD9, + TILE_WIRE_JPA3_PRADD9, + TILE_WIRE_JPA4_PRADD9, + TILE_WIRE_JPA5_PRADD9, + TILE_WIRE_JPA6_PRADD9, + TILE_WIRE_JPA7_PRADD9, + TILE_WIRE_JPA8_PRADD9, + TILE_WIRE_JPB0_PRADD9, + TILE_WIRE_JPB1_PRADD9, + TILE_WIRE_JPB2_PRADD9, + TILE_WIRE_JPB3_PRADD9, + TILE_WIRE_JPB4_PRADD9, + TILE_WIRE_JPB5_PRADD9, + TILE_WIRE_JPB6_PRADD9, + TILE_WIRE_JPB7_PRADD9, + TILE_WIRE_JPB8_PRADD9, + TILE_WIRE_JPO0_PRADD9, + TILE_WIRE_JPO1_PRADD9, + TILE_WIRE_JPO2_PRADD9, + TILE_WIRE_JPO3_PRADD9, + TILE_WIRE_JPO4_PRADD9, + TILE_WIRE_JPO5_PRADD9, + TILE_WIRE_JPO6_PRADD9, + TILE_WIRE_JPO7_PRADD9, + TILE_WIRE_JPO8_PRADD9, + TILE_WIRE_JRST0_PRADD9, + TILE_WIRE_JRST1_PRADD9, + TILE_WIRE_JRST2_PRADD9, + TILE_WIRE_JRST3_PRADD9, + TILE_WIRE_JSOURCEA_PRADD9, + TILE_WIRE_JSRIA0_PRADD9, + TILE_WIRE_JSRIA1_PRADD9, + TILE_WIRE_JSRIA2_PRADD9, + TILE_WIRE_JSRIA3_PRADD9, + TILE_WIRE_JSRIA4_PRADD9, + TILE_WIRE_JSRIA5_PRADD9, + TILE_WIRE_JSRIA6_PRADD9, + TILE_WIRE_JSRIA7_PRADD9, + TILE_WIRE_JSRIA8_PRADD9, + TILE_WIRE_JSRIB0_PRADD9, + TILE_WIRE_JSRIB1_PRADD9, + TILE_WIRE_JSRIB2_PRADD9, + TILE_WIRE_JSRIB3_PRADD9, + TILE_WIRE_JSRIB4_PRADD9, + TILE_WIRE_JSRIB5_PRADD9, + TILE_WIRE_JSRIB6_PRADD9, + TILE_WIRE_JSRIB7_PRADD9, + TILE_WIRE_JSRIB8_PRADD9, + TILE_WIRE_JSROA0_PRADD9, + TILE_WIRE_JSROA1_PRADD9, + TILE_WIRE_JSROA2_PRADD9, + TILE_WIRE_JSROA3_PRADD9, + TILE_WIRE_JSROA4_PRADD9, + TILE_WIRE_JSROA5_PRADD9, + TILE_WIRE_JSROA6_PRADD9, + TILE_WIRE_JSROA7_PRADD9, + TILE_WIRE_JSROA8_PRADD9, + TILE_WIRE_JSROB0_PRADD9, + TILE_WIRE_JSROB1_PRADD9, + TILE_WIRE_JSROB2_PRADD9, + TILE_WIRE_JSROB3_PRADD9, + TILE_WIRE_JSROB4_PRADD9, + TILE_WIRE_JSROB5_PRADD9, + TILE_WIRE_JSROB6_PRADD9, + TILE_WIRE_JSROB7_PRADD9, + TILE_WIRE_JSROB8_PRADD9, + + + TILE_WIRE_JC0_PRADD18, + TILE_WIRE_JC10_PRADD18, + TILE_WIRE_JC11_PRADD18, + TILE_WIRE_JC12_PRADD18, + TILE_WIRE_JC13_PRADD18, + TILE_WIRE_JC14_PRADD18, + TILE_WIRE_JC15_PRADD18, + TILE_WIRE_JC16_PRADD18, + TILE_WIRE_JC17_PRADD18, + TILE_WIRE_JC1_PRADD18, + TILE_WIRE_JC2_PRADD18, + TILE_WIRE_JC3_PRADD18, + TILE_WIRE_JC4_PRADD18, + TILE_WIRE_JC5_PRADD18, + TILE_WIRE_JC6_PRADD18, + TILE_WIRE_JC7_PRADD18, + TILE_WIRE_JC8_PRADD18, + TILE_WIRE_JC9_PRADD18, + TILE_WIRE_JCE0_PRADD18, + TILE_WIRE_JCE1_PRADD18, + TILE_WIRE_JCE2_PRADD18, + TILE_WIRE_JCE3_PRADD18, + TILE_WIRE_JCLK0_PRADD18, + TILE_WIRE_JCLK1_PRADD18, + TILE_WIRE_JCLK2_PRADD18, + TILE_WIRE_JCLK3_PRADD18, + TILE_WIRE_JOPPRE_PRADD18, + TILE_WIRE_JPA0_PRADD18, + TILE_WIRE_JPA10_PRADD18, + TILE_WIRE_JPA11_PRADD18, + TILE_WIRE_JPA12_PRADD18, + TILE_WIRE_JPA13_PRADD18, + TILE_WIRE_JPA14_PRADD18, + TILE_WIRE_JPA15_PRADD18, + TILE_WIRE_JPA16_PRADD18, + TILE_WIRE_JPA17_PRADD18, + TILE_WIRE_JPA1_PRADD18, + TILE_WIRE_JPA2_PRADD18, + TILE_WIRE_JPA3_PRADD18, + TILE_WIRE_JPA4_PRADD18, + TILE_WIRE_JPA5_PRADD18, + TILE_WIRE_JPA6_PRADD18, + TILE_WIRE_JPA7_PRADD18, + TILE_WIRE_JPA8_PRADD18, + TILE_WIRE_JPA9_PRADD18, + TILE_WIRE_JPB0_PRADD18, + TILE_WIRE_JPB10_PRADD18, + TILE_WIRE_JPB11_PRADD18, + TILE_WIRE_JPB12_PRADD18, + TILE_WIRE_JPB13_PRADD18, + TILE_WIRE_JPB14_PRADD18, + TILE_WIRE_JPB15_PRADD18, + TILE_WIRE_JPB16_PRADD18, + TILE_WIRE_JPB17_PRADD18, + TILE_WIRE_JPB1_PRADD18, + TILE_WIRE_JPB2_PRADD18, + TILE_WIRE_JPB3_PRADD18, + TILE_WIRE_JPB4_PRADD18, + TILE_WIRE_JPB5_PRADD18, + TILE_WIRE_JPB6_PRADD18, + TILE_WIRE_JPB7_PRADD18, + TILE_WIRE_JPB8_PRADD18, + TILE_WIRE_JPB9_PRADD18, + TILE_WIRE_JPO0_PRADD18, + TILE_WIRE_JPO10_PRADD18, + TILE_WIRE_JPO11_PRADD18, + TILE_WIRE_JPO12_PRADD18, + TILE_WIRE_JPO13_PRADD18, + TILE_WIRE_JPO14_PRADD18, + TILE_WIRE_JPO15_PRADD18, + TILE_WIRE_JPO16_PRADD18, + TILE_WIRE_JPO17_PRADD18, + TILE_WIRE_JPO1_PRADD18, + TILE_WIRE_JPO2_PRADD18, + TILE_WIRE_JPO3_PRADD18, + TILE_WIRE_JPO4_PRADD18, + TILE_WIRE_JPO5_PRADD18, + TILE_WIRE_JPO6_PRADD18, + TILE_WIRE_JPO7_PRADD18, + TILE_WIRE_JPO8_PRADD18, + TILE_WIRE_JPO9_PRADD18, + TILE_WIRE_JRST0_PRADD18, + TILE_WIRE_JRST1_PRADD18, + TILE_WIRE_JRST2_PRADD18, + TILE_WIRE_JRST3_PRADD18, + TILE_WIRE_JSOURCEA_PRADD18, + TILE_WIRE_JSRIA0_PRADD18, + TILE_WIRE_JSRIA10_PRADD18, + TILE_WIRE_JSRIA11_PRADD18, + TILE_WIRE_JSRIA12_PRADD18, + TILE_WIRE_JSRIA13_PRADD18, + TILE_WIRE_JSRIA14_PRADD18, + TILE_WIRE_JSRIA15_PRADD18, + TILE_WIRE_JSRIA16_PRADD18, + TILE_WIRE_JSRIA17_PRADD18, + TILE_WIRE_JSRIA1_PRADD18, + TILE_WIRE_JSRIA2_PRADD18, + TILE_WIRE_JSRIA3_PRADD18, + TILE_WIRE_JSRIA4_PRADD18, + TILE_WIRE_JSRIA5_PRADD18, + TILE_WIRE_JSRIA6_PRADD18, + TILE_WIRE_JSRIA7_PRADD18, + TILE_WIRE_JSRIA8_PRADD18, + TILE_WIRE_JSRIA9_PRADD18, + TILE_WIRE_JSRIB0_PRADD18, + TILE_WIRE_JSRIB10_PRADD18, + TILE_WIRE_JSRIB11_PRADD18, + TILE_WIRE_JSRIB12_PRADD18, + TILE_WIRE_JSRIB13_PRADD18, + TILE_WIRE_JSRIB14_PRADD18, + TILE_WIRE_JSRIB15_PRADD18, + TILE_WIRE_JSRIB16_PRADD18, + TILE_WIRE_JSRIB17_PRADD18, + TILE_WIRE_JSRIB1_PRADD18, + TILE_WIRE_JSRIB2_PRADD18, + TILE_WIRE_JSRIB3_PRADD18, + TILE_WIRE_JSRIB4_PRADD18, + TILE_WIRE_JSRIB5_PRADD18, + TILE_WIRE_JSRIB6_PRADD18, + TILE_WIRE_JSRIB7_PRADD18, + TILE_WIRE_JSRIB8_PRADD18, + TILE_WIRE_JSRIB9_PRADD18, + TILE_WIRE_JSROA0_PRADD18, + TILE_WIRE_JSROA10_PRADD18, + TILE_WIRE_JSROA11_PRADD18, + TILE_WIRE_JSROA12_PRADD18, + TILE_WIRE_JSROA13_PRADD18, + TILE_WIRE_JSROA14_PRADD18, + TILE_WIRE_JSROA15_PRADD18, + TILE_WIRE_JSROA16_PRADD18, + TILE_WIRE_JSROA17_PRADD18, + TILE_WIRE_JSROA1_PRADD18, + TILE_WIRE_JSROA2_PRADD18, + TILE_WIRE_JSROA3_PRADD18, + TILE_WIRE_JSROA4_PRADD18, + TILE_WIRE_JSROA5_PRADD18, + TILE_WIRE_JSROA6_PRADD18, + TILE_WIRE_JSROA7_PRADD18, + TILE_WIRE_JSROA8_PRADD18, + TILE_WIRE_JSROA9_PRADD18, + TILE_WIRE_JSROB0_PRADD18, + TILE_WIRE_JSROB10_PRADD18, + TILE_WIRE_JSROB11_PRADD18, + TILE_WIRE_JSROB12_PRADD18, + TILE_WIRE_JSROB13_PRADD18, + TILE_WIRE_JSROB14_PRADD18, + TILE_WIRE_JSROB15_PRADD18, + TILE_WIRE_JSROB16_PRADD18, + TILE_WIRE_JSROB17_PRADD18, + TILE_WIRE_JSROB1_PRADD18, + TILE_WIRE_JSROB2_PRADD18, + TILE_WIRE_JSROB3_PRADD18, + TILE_WIRE_JSROB4_PRADD18, + TILE_WIRE_JSROB5_PRADD18, + TILE_WIRE_JSROB6_PRADD18, + TILE_WIRE_JSROB7_PRADD18, + TILE_WIRE_JSROB8_PRADD18, + TILE_WIRE_JSROB9_PRADD18, + + + TILE_WIRE_JCE0_ALU24, + TILE_WIRE_JCE1_ALU24, + TILE_WIRE_JCE2_ALU24, + TILE_WIRE_JCE3_ALU24, + TILE_WIRE_JCFB0_ALU24, + TILE_WIRE_JCFB10_ALU24, + TILE_WIRE_JCFB11_ALU24, + TILE_WIRE_JCFB12_ALU24, + TILE_WIRE_JCFB13_ALU24, + TILE_WIRE_JCFB14_ALU24, + TILE_WIRE_JCFB15_ALU24, + TILE_WIRE_JCFB16_ALU24, + TILE_WIRE_JCFB17_ALU24, + TILE_WIRE_JCFB18_ALU24, + TILE_WIRE_JCFB19_ALU24, + TILE_WIRE_JCFB1_ALU24, + TILE_WIRE_JCFB20_ALU24, + TILE_WIRE_JCFB21_ALU24, + TILE_WIRE_JCFB22_ALU24, + TILE_WIRE_JCFB23_ALU24, + TILE_WIRE_JCFB2_ALU24, + TILE_WIRE_JCFB3_ALU24, + TILE_WIRE_JCFB4_ALU24, + TILE_WIRE_JCFB5_ALU24, + TILE_WIRE_JCFB6_ALU24, + TILE_WIRE_JCFB7_ALU24, + TILE_WIRE_JCFB8_ALU24, + TILE_WIRE_JCFB9_ALU24, + TILE_WIRE_JCIN0_ALU24, + TILE_WIRE_JCIN10_ALU24, + TILE_WIRE_JCIN11_ALU24, + TILE_WIRE_JCIN12_ALU24, + TILE_WIRE_JCIN13_ALU24, + TILE_WIRE_JCIN14_ALU24, + TILE_WIRE_JCIN15_ALU24, + TILE_WIRE_JCIN16_ALU24, + TILE_WIRE_JCIN17_ALU24, + TILE_WIRE_JCIN18_ALU24, + TILE_WIRE_JCIN19_ALU24, + TILE_WIRE_JCIN1_ALU24, + TILE_WIRE_JCIN20_ALU24, + TILE_WIRE_JCIN21_ALU24, + TILE_WIRE_JCIN22_ALU24, + TILE_WIRE_JCIN23_ALU24, + TILE_WIRE_JCIN2_ALU24, + TILE_WIRE_JCIN3_ALU24, + TILE_WIRE_JCIN4_ALU24, + TILE_WIRE_JCIN5_ALU24, + TILE_WIRE_JCIN6_ALU24, + TILE_WIRE_JCIN7_ALU24, + TILE_WIRE_JCIN8_ALU24, + TILE_WIRE_JCIN9_ALU24, + TILE_WIRE_JCLK0_ALU24, + TILE_WIRE_JCLK1_ALU24, + TILE_WIRE_JCLK2_ALU24, + TILE_WIRE_JCLK3_ALU24, + TILE_WIRE_JCO0_ALU24, + TILE_WIRE_JCO10_ALU24, + TILE_WIRE_JCO11_ALU24, + TILE_WIRE_JCO12_ALU24, + TILE_WIRE_JCO13_ALU24, + TILE_WIRE_JCO14_ALU24, + TILE_WIRE_JCO15_ALU24, + TILE_WIRE_JCO16_ALU24, + TILE_WIRE_JCO17_ALU24, + TILE_WIRE_JCO18_ALU24, + TILE_WIRE_JCO19_ALU24, + TILE_WIRE_JCO1_ALU24, + TILE_WIRE_JCO20_ALU24, + TILE_WIRE_JCO21_ALU24, + TILE_WIRE_JCO22_ALU24, + TILE_WIRE_JCO23_ALU24, + TILE_WIRE_JCO2_ALU24, + TILE_WIRE_JCO3_ALU24, + TILE_WIRE_JCO4_ALU24, + TILE_WIRE_JCO5_ALU24, + TILE_WIRE_JCO6_ALU24, + TILE_WIRE_JCO7_ALU24, + TILE_WIRE_JCO8_ALU24, + TILE_WIRE_JCO9_ALU24, + TILE_WIRE_JMA0_ALU24, + TILE_WIRE_JMA10_ALU24, + TILE_WIRE_JMA11_ALU24, + TILE_WIRE_JMA12_ALU24, + TILE_WIRE_JMA13_ALU24, + TILE_WIRE_JMA14_ALU24, + TILE_WIRE_JMA15_ALU24, + TILE_WIRE_JMA16_ALU24, + TILE_WIRE_JMA17_ALU24, + TILE_WIRE_JMA1_ALU24, + TILE_WIRE_JMA2_ALU24, + TILE_WIRE_JMA3_ALU24, + TILE_WIRE_JMA4_ALU24, + TILE_WIRE_JMA5_ALU24, + TILE_WIRE_JMA6_ALU24, + TILE_WIRE_JMA7_ALU24, + TILE_WIRE_JMA8_ALU24, + TILE_WIRE_JMA9_ALU24, + TILE_WIRE_JMB0_ALU24, + TILE_WIRE_JMB10_ALU24, + TILE_WIRE_JMB11_ALU24, + TILE_WIRE_JMB12_ALU24, + TILE_WIRE_JMB13_ALU24, + TILE_WIRE_JMB14_ALU24, + TILE_WIRE_JMB15_ALU24, + TILE_WIRE_JMB16_ALU24, + TILE_WIRE_JMB17_ALU24, + TILE_WIRE_JMB1_ALU24, + TILE_WIRE_JMB2_ALU24, + TILE_WIRE_JMB3_ALU24, + TILE_WIRE_JMB4_ALU24, + TILE_WIRE_JMB5_ALU24, + TILE_WIRE_JMB6_ALU24, + TILE_WIRE_JMB7_ALU24, + TILE_WIRE_JMB8_ALU24, + TILE_WIRE_JMB9_ALU24, + TILE_WIRE_JOP5_ALU24, + TILE_WIRE_JOP7_ALU24, + TILE_WIRE_JR0_ALU24, + TILE_WIRE_JR10_ALU24, + TILE_WIRE_JR11_ALU24, + TILE_WIRE_JR12_ALU24, + TILE_WIRE_JR13_ALU24, + TILE_WIRE_JR14_ALU24, + TILE_WIRE_JR15_ALU24, + TILE_WIRE_JR16_ALU24, + TILE_WIRE_JR17_ALU24, + TILE_WIRE_JR18_ALU24, + TILE_WIRE_JR19_ALU24, + TILE_WIRE_JR1_ALU24, + TILE_WIRE_JR20_ALU24, + TILE_WIRE_JR21_ALU24, + TILE_WIRE_JR22_ALU24, + TILE_WIRE_JR23_ALU24, + TILE_WIRE_JR2_ALU24, + TILE_WIRE_JR3_ALU24, + TILE_WIRE_JR4_ALU24, + TILE_WIRE_JR5_ALU24, + TILE_WIRE_JR6_ALU24, + TILE_WIRE_JR7_ALU24, + TILE_WIRE_JR8_ALU24, + TILE_WIRE_JR9_ALU24, + TILE_WIRE_JRST0_ALU24, + TILE_WIRE_JRST1_ALU24, + TILE_WIRE_JRST2_ALU24, + TILE_WIRE_JRST3_ALU24, + TILE_WIRE_JSIGNEDIA_ALU24, + TILE_WIRE_JSIGNEDIB_ALU24, + + + + + + + + + + + + + + + TILE_WIRE_G_BANK2ECLK0, + TILE_WIRE_G_BANK2ECLK1, + TILE_WIRE_G_BANK3ECLK0, + TILE_WIRE_G_BANK3ECLK1, + TILE_WIRE_G_BANK6ECLK0, + TILE_WIRE_G_BANK6ECLK1, + TILE_WIRE_G_BANK7ECLK0, + TILE_WIRE_G_BANK7ECLK1, + TILE_WIRE_G_BDCC0CLKI, + TILE_WIRE_G_BDCC10CLKI, + TILE_WIRE_G_BDCC11CLKI, + TILE_WIRE_G_BDCC12CLKI, + TILE_WIRE_G_BDCC13CLKI, + TILE_WIRE_G_BDCC14CLKI, + TILE_WIRE_G_BDCC15CLKI, + TILE_WIRE_G_BDCC1CLKI, + TILE_WIRE_G_BDCC2CLKI, + TILE_WIRE_G_BDCC3CLKI, + TILE_WIRE_G_BDCC4CLKI, + TILE_WIRE_G_BDCC5CLKI, + TILE_WIRE_G_BDCC6CLKI, + TILE_WIRE_G_BDCC7CLKI, + TILE_WIRE_G_BDCC8CLKI, + TILE_WIRE_G_BDCC9CLKI, + TILE_WIRE_G_CLK0_DCS0, + TILE_WIRE_G_CLK0_DCS1, + TILE_WIRE_G_CLK1_DCS0, + TILE_WIRE_G_CLK1_DCS1, + TILE_WIRE_G_CLKO_DCCBL, + TILE_WIRE_G_CLKO_DCCBR, + TILE_WIRE_G_CLKO_DCCTL, + TILE_WIRE_G_CLKO_DCCTR, + TILE_WIRE_G_DCS0, + TILE_WIRE_G_DCS0CLK0, + TILE_WIRE_G_DCS0CLK1, + TILE_WIRE_G_DCS1, + TILE_WIRE_G_DCS1CLK0, + TILE_WIRE_G_DCS1CLK1, + TILE_WIRE_G_DCSOUT_DCS0, + TILE_WIRE_G_DCSOUT_DCS1, + TILE_WIRE_G_HPFE0000, + TILE_WIRE_G_HPFE0100, + TILE_WIRE_G_HPFE0200, + TILE_WIRE_G_HPFE0300, + TILE_WIRE_G_HPFE0400, + TILE_WIRE_G_HPFE0500, + TILE_WIRE_G_HPFE0600, + TILE_WIRE_G_HPFE0700, + TILE_WIRE_G_HPFE0800, + TILE_WIRE_G_HPFE0900, + TILE_WIRE_G_HPFE1000, + TILE_WIRE_G_HPFE1100, + TILE_WIRE_G_HPFE1200, + TILE_WIRE_G_HPFE1300, + TILE_WIRE_G_HPFW0000, + TILE_WIRE_G_HPFW0100, + TILE_WIRE_G_HPFW0200, + TILE_WIRE_G_HPFW0300, + TILE_WIRE_G_HPFW0400, + TILE_WIRE_G_HPFW0500, + TILE_WIRE_G_HPFW0600, + TILE_WIRE_G_HPFW0700, + TILE_WIRE_G_HPFW0800, + TILE_WIRE_G_HPFW0900, + TILE_WIRE_G_HPFW1000, + TILE_WIRE_G_HPFW1100, + TILE_WIRE_G_HPFW1200, + TILE_WIRE_G_HPFW1300, + TILE_WIRE_G_HPRX0000, + TILE_WIRE_G_HPRX0100, + TILE_WIRE_G_HPRX0200, + TILE_WIRE_G_HPRX0300, + TILE_WIRE_G_HPRX0400, + TILE_WIRE_G_HPRX0500, + TILE_WIRE_G_HPRX0600, + TILE_WIRE_G_HPRX0700, + TILE_WIRE_G_HPRX0800, + TILE_WIRE_G_HPRX0900, + TILE_WIRE_G_HPRX1000, + TILE_WIRE_G_HPRX1100, + TILE_WIRE_G_HPRX1200, + TILE_WIRE_G_HPRX1300, + TILE_WIRE_G_HPRX1400, + TILE_WIRE_G_HPRX1500, + TILE_WIRE_G_JBLQPCLKCIB0, + TILE_WIRE_G_JBLQPCLKCIB1, + TILE_WIRE_G_JBRGECLK0, + TILE_WIRE_G_JBRGECLK1, + TILE_WIRE_G_JBRQPCLKCIB0, + TILE_WIRE_G_JBRQPCLKCIB1, + TILE_WIRE_G_JCE_DCCBL, + TILE_WIRE_G_JCE_DCCBR, + TILE_WIRE_G_JCE_DCCTL, + TILE_WIRE_G_JCE_DCCTR, + TILE_WIRE_G_JCLKI_DCCBL, + TILE_WIRE_G_JCLKI_DCCBR, + TILE_WIRE_G_JCLKI_DCCTL, + TILE_WIRE_G_JCLKI_DCCTR, + TILE_WIRE_G_JLCDIVX0, + TILE_WIRE_G_JLCDIVX1, + TILE_WIRE_G_JLECLK1, + TILE_WIRE_G_JLLCPLL0CLKOP, + TILE_WIRE_G_JLLCPLL0CLKOS, + TILE_WIRE_G_JLLCPLL0CLKOS2, + TILE_WIRE_G_JLLCPLL0CLKOS3, + TILE_WIRE_G_JLLMPCLKCIB0, + TILE_WIRE_G_JLLMPCLKCIB1, + TILE_WIRE_G_JLLMPCLKCIB2, + TILE_WIRE_G_JLLMPCLKCIB3, + TILE_WIRE_G_JLLQECLKCIB0, + TILE_WIRE_G_JLLQECLKCIB1, + TILE_WIRE_G_JLLQPCLKCIB0, + TILE_WIRE_G_JLLQPCLKCIB1, + TILE_WIRE_G_JLRCPLL0CLKOP, + TILE_WIRE_G_JLRCPLL0CLKOS, + TILE_WIRE_G_JLRCPLL0CLKOS2, + TILE_WIRE_G_JLRCPLL0CLKOS3, + TILE_WIRE_G_JLRMPCLKCIB0, + TILE_WIRE_G_JLRMPCLKCIB1, + TILE_WIRE_G_JLRMPCLKCIB2, + TILE_WIRE_G_JLRMPCLKCIB3, + TILE_WIRE_G_JLRQECLKCIB0, + TILE_WIRE_G_JLRQECLKCIB1, + TILE_WIRE_G_JLRQPCLKCIB0, + TILE_WIRE_G_JLRQPCLKCIB1, + TILE_WIRE_G_JMODESEL_DCS0, + TILE_WIRE_G_JMODESEL_DCS1, + TILE_WIRE_G_JOSC, + TILE_WIRE_G_JOSC_OSC, + TILE_WIRE_G_JPCLKT00, + TILE_WIRE_G_JPCLKT01, + TILE_WIRE_G_JPCLKT10, + TILE_WIRE_G_JPCLKT11, + TILE_WIRE_G_JPCLKT20, + TILE_WIRE_G_JPCLKT21, + TILE_WIRE_G_JPCLKT30, + TILE_WIRE_G_JPCLKT31, + TILE_WIRE_G_JPCLKT60, + TILE_WIRE_G_JPCLKT61, + TILE_WIRE_G_JPCLKT70, + TILE_WIRE_G_JPCLKT71, + TILE_WIRE_G_JPCSARXCLK0, + TILE_WIRE_G_JPCSARXCLK1, + TILE_WIRE_G_JPCSATXCLK0, + TILE_WIRE_G_JPCSATXCLK1, + TILE_WIRE_G_JPCSBRXCLK0, + TILE_WIRE_G_JPCSBRXCLK1, + TILE_WIRE_G_JPCSBTXCLK0, + TILE_WIRE_G_JPCSBTXCLK1, + TILE_WIRE_G_JRECLK0, + TILE_WIRE_G_JSEDCLKOUT, + TILE_WIRE_G_JSEL0_DCS0, + TILE_WIRE_G_JSEL0_DCS1, + TILE_WIRE_G_JSEL1_DCS0, + TILE_WIRE_G_JSEL1_DCS1, + TILE_WIRE_G_JTLQPCLKCIB0, + TILE_WIRE_G_JTLQPCLKCIB1, + TILE_WIRE_G_JTRQPCLKCIB0, + TILE_WIRE_G_JTRQPCLKCIB1, + TILE_WIRE_G_JULCPLL0CLKOP, + TILE_WIRE_G_JULCPLL0CLKOS, + TILE_WIRE_G_JULCPLL0CLKOS2, + TILE_WIRE_G_JULCPLL0CLKOS3, + TILE_WIRE_G_JULMPCLKCIB0, + TILE_WIRE_G_JULMPCLKCIB1, + TILE_WIRE_G_JULMPCLKCIB2, + TILE_WIRE_G_JULMPCLKCIB3, + TILE_WIRE_G_JULQECLKCIB0, + TILE_WIRE_G_JULQECLKCIB1, + TILE_WIRE_G_JULQPCLKCIB0, + TILE_WIRE_G_JULQPCLKCIB1, + TILE_WIRE_G_JURCPLL0CLKOP, + TILE_WIRE_G_JURCPLL0CLKOS, + TILE_WIRE_G_JURCPLL0CLKOS2, + TILE_WIRE_G_JURCPLL0CLKOS3, + TILE_WIRE_G_JURMPCLKCIB0, + TILE_WIRE_G_JURMPCLKCIB1, + TILE_WIRE_G_JURMPCLKCIB2, + TILE_WIRE_G_JURMPCLKCIB3, + TILE_WIRE_G_JURQECLKCIB0, + TILE_WIRE_G_JURQECLKCIB1, + TILE_WIRE_G_JURQPCLKCIB0, + TILE_WIRE_G_JURQPCLKCIB1, + TILE_WIRE_G_LDCC0CLKI, + TILE_WIRE_G_LDCC10CLKI, + TILE_WIRE_G_LDCC11CLKI, + TILE_WIRE_G_LDCC12CLKI, + TILE_WIRE_G_LDCC13CLKI, + TILE_WIRE_G_LDCC1CLKI, + TILE_WIRE_G_LDCC2CLKI, + TILE_WIRE_G_LDCC3CLKI, + TILE_WIRE_G_LDCC4CLKI, + TILE_WIRE_G_LDCC5CLKI, + TILE_WIRE_G_LDCC6CLKI, + TILE_WIRE_G_LDCC7CLKI, + TILE_WIRE_G_LDCC8CLKI, + TILE_WIRE_G_LDCC9CLKI, + TILE_WIRE_G_LLCPCLKCIB0, + TILE_WIRE_G_LLDDRDEL, + TILE_WIRE_G_LLPCLK0, + TILE_WIRE_G_LLPCLK1, + TILE_WIRE_G_LLPCLK10, + TILE_WIRE_G_LLPCLK11, + TILE_WIRE_G_LLPCLK12, + TILE_WIRE_G_LLPCLK13, + TILE_WIRE_G_LLPCLK14, + TILE_WIRE_G_LLPCLK15, + TILE_WIRE_G_LLPCLK2, + TILE_WIRE_G_LLPCLK3, + TILE_WIRE_G_LLPCLK4, + TILE_WIRE_G_LLPCLK5, + TILE_WIRE_G_LLPCLK6, + TILE_WIRE_G_LLPCLK7, + TILE_WIRE_G_LLPCLK8, + TILE_WIRE_G_LLPCLK9, + TILE_WIRE_G_LRCPCLKCIB0, + TILE_WIRE_G_LRDDRDEL, + TILE_WIRE_G_LRPCLK0, + TILE_WIRE_G_LRPCLK1, + TILE_WIRE_G_LRPCLK10, + TILE_WIRE_G_LRPCLK11, + TILE_WIRE_G_LRPCLK12, + TILE_WIRE_G_LRPCLK13, + TILE_WIRE_G_LRPCLK14, + TILE_WIRE_G_LRPCLK15, + TILE_WIRE_G_LRPCLK2, + TILE_WIRE_G_LRPCLK3, + TILE_WIRE_G_LRPCLK4, + TILE_WIRE_G_LRPCLK5, + TILE_WIRE_G_LRPCLK6, + TILE_WIRE_G_LRPCLK7, + TILE_WIRE_G_LRPCLK8, + TILE_WIRE_G_LRPCLK9, + TILE_WIRE_G_RDCC0CLKI, + TILE_WIRE_G_RDCC10CLKI, + TILE_WIRE_G_RDCC11CLKI, + TILE_WIRE_G_RDCC12CLKI, + TILE_WIRE_G_RDCC13CLKI, + TILE_WIRE_G_RDCC1CLKI, + TILE_WIRE_G_RDCC2CLKI, + TILE_WIRE_G_RDCC3CLKI, + TILE_WIRE_G_RDCC4CLKI, + TILE_WIRE_G_RDCC5CLKI, + TILE_WIRE_G_RDCC6CLKI, + TILE_WIRE_G_RDCC7CLKI, + TILE_WIRE_G_RDCC8CLKI, + TILE_WIRE_G_RDCC9CLKI, + TILE_WIRE_G_TDCC0CLKI, + TILE_WIRE_G_TDCC10CLKI, + TILE_WIRE_G_TDCC11CLKI, + TILE_WIRE_G_TDCC1CLKI, + TILE_WIRE_G_TDCC2CLKI, + TILE_WIRE_G_TDCC3CLKI, + TILE_WIRE_G_TDCC4CLKI, + TILE_WIRE_G_TDCC5CLKI, + TILE_WIRE_G_TDCC6CLKI, + TILE_WIRE_G_TDCC7CLKI, + TILE_WIRE_G_TDCC8CLKI, + TILE_WIRE_G_TDCC9CLKI, + TILE_WIRE_G_ULCPCLKCIB0, + TILE_WIRE_G_ULDDRDEL, + TILE_WIRE_G_ULPCLK0, + TILE_WIRE_G_ULPCLK1, + TILE_WIRE_G_ULPCLK10, + TILE_WIRE_G_ULPCLK11, + TILE_WIRE_G_ULPCLK12, + TILE_WIRE_G_ULPCLK13, + TILE_WIRE_G_ULPCLK14, + TILE_WIRE_G_ULPCLK15, + TILE_WIRE_G_ULPCLK2, + TILE_WIRE_G_ULPCLK3, + TILE_WIRE_G_ULPCLK4, + TILE_WIRE_G_ULPCLK5, + TILE_WIRE_G_ULPCLK6, + TILE_WIRE_G_ULPCLK7, + TILE_WIRE_G_ULPCLK8, + TILE_WIRE_G_ULPCLK9, + TILE_WIRE_G_URCPCLKCIB0, + TILE_WIRE_G_URDDRDEL, + TILE_WIRE_G_URPCLK0, + TILE_WIRE_G_URPCLK1, + TILE_WIRE_G_URPCLK10, + TILE_WIRE_G_URPCLK11, + TILE_WIRE_G_URPCLK12, + TILE_WIRE_G_URPCLK13, + TILE_WIRE_G_URPCLK14, + TILE_WIRE_G_URPCLK15, + TILE_WIRE_G_URPCLK2, + TILE_WIRE_G_URPCLK3, + TILE_WIRE_G_URPCLK4, + TILE_WIRE_G_URPCLK5, + TILE_WIRE_G_URPCLK6, + TILE_WIRE_G_URPCLK7, + TILE_WIRE_G_URPCLK8, + TILE_WIRE_G_URPCLK9, + TILE_WIRE_G_VPFN0000, + TILE_WIRE_G_VPFN0100, + TILE_WIRE_G_VPFN0200, + TILE_WIRE_G_VPFN0300, + TILE_WIRE_G_VPFN0400, + TILE_WIRE_G_VPFN0500, + TILE_WIRE_G_VPFN0600, + TILE_WIRE_G_VPFN0700, + TILE_WIRE_G_VPFN0800, + TILE_WIRE_G_VPFN0900, + TILE_WIRE_G_VPFN1000, + TILE_WIRE_G_VPFN1100, + TILE_WIRE_G_VPFN1200, + TILE_WIRE_G_VPFN1300, + TILE_WIRE_G_VPFN1400, + TILE_WIRE_G_VPFN1500, + TILE_WIRE_G_VPFS0000, + TILE_WIRE_G_VPFS0100, + TILE_WIRE_G_VPFS0200, + TILE_WIRE_G_VPFS0300, + TILE_WIRE_G_VPFS0400, + TILE_WIRE_G_VPFS0500, + TILE_WIRE_G_VPFS0600, + TILE_WIRE_G_VPFS0700, + TILE_WIRE_G_VPFS0800, + TILE_WIRE_G_VPFS0900, + TILE_WIRE_G_VPFS1000, + TILE_WIRE_G_VPFS1100, + + + + + TILE_WIRE_BNK_ECLK0, + TILE_WIRE_BNK_ECLK1, + TILE_WIRE_BNK_INRD, + TILE_WIRE_BNK_LVDS, + TILE_WIRE_CH0_RX_REFCLK, + TILE_WIRE_CH1_RX_REFCLK, + TILE_WIRE_CLK0_PLLREFCS, + TILE_WIRE_CLK1_PLLREFCS, + TILE_WIRE_CLKFB, + TILE_WIRE_CLKI0, + TILE_WIRE_CLKI1, + TILE_WIRE_CLKINTFB, + TILE_WIRE_CLKI_CLKDIV0, + TILE_WIRE_CLKI_CLKDIV1, + TILE_WIRE_DDRDEL, + TILE_WIRE_DDRDEL_DLLDEL, + TILE_WIRE_DLLDEL, + TILE_WIRE_DQSECLK, + TILE_WIRE_DQSG_DQSR90, + TILE_WIRE_DQSG_DQSW, + TILE_WIRE_DQSG_DQSW270, + TILE_WIRE_DQSG_RDPNTR0, + TILE_WIRE_DQSG_RDPNTR1, + TILE_WIRE_DQSG_RDPNTR2, + TILE_WIRE_DQSG_WRPNTR0, + TILE_WIRE_DQSG_WRPNTR1, + TILE_WIRE_DQSG_WRPNTR2, + TILE_WIRE_D_REFCLKI, + TILE_WIRE_ECLKI0, + TILE_WIRE_ECLKI1, + TILE_WIRE_ECLKI_BRGECLKSYNC0, + TILE_WIRE_ECLKI_BRGECLKSYNC1, + TILE_WIRE_ECLKI_ECLKSYNC0, + TILE_WIRE_ECLKI_ECLKSYNC1, + TILE_WIRE_ECSOUT_ECLKBRIDGECS0, + TILE_WIRE_ECSOUT_ECLKBRIDGECS1, + TILE_WIRE_EXTREFCLK, + + TILE_WIRE_INPUT_REFN_APIO, + TILE_WIRE_INPUT_REFP_APIO, + TILE_WIRE_JALIGNWD_CLKDIV0, + TILE_WIRE_JALIGNWD_CLKDIV1, + TILE_WIRE_JA_DLLDEL, + TILE_WIRE_JBRGECLK0, + TILE_WIRE_JBRGECLK1, + TILE_WIRE_JCDIVX_CLKDIV0, + TILE_WIRE_JCDIVX_CLKDIV1, + TILE_WIRE_JCFB0, + TILE_WIRE_JCFB1, + TILE_WIRE_JCFB10, + TILE_WIRE_JCFB11, + TILE_WIRE_JCFB12, + TILE_WIRE_JCFB13, + TILE_WIRE_JCFB14, + TILE_WIRE_JCFB15, + TILE_WIRE_JCFB16, + TILE_WIRE_JCFB17, + TILE_WIRE_JCFB18, + TILE_WIRE_JCFB19, + TILE_WIRE_JCFB2, + TILE_WIRE_JCFB20, + TILE_WIRE_JCFB21, + TILE_WIRE_JCFB22, + TILE_WIRE_JCFB23, + TILE_WIRE_JCFB24, + TILE_WIRE_JCFB25, + TILE_WIRE_JCFB26, + TILE_WIRE_JCFB27, + TILE_WIRE_JCFB28, + TILE_WIRE_JCFB29, + TILE_WIRE_JCFB3, + TILE_WIRE_JCFB30, + TILE_WIRE_JCFB31, + TILE_WIRE_JCFB32, + TILE_WIRE_JCFB33, + TILE_WIRE_JCFB34, + TILE_WIRE_JCFB35, + TILE_WIRE_JCFB36, + TILE_WIRE_JCFB37, + TILE_WIRE_JCFB38, + TILE_WIRE_JCFB39, + TILE_WIRE_JCFB4, + TILE_WIRE_JCFB40, + TILE_WIRE_JCFB41, + TILE_WIRE_JCFB42, + TILE_WIRE_JCFB43, + TILE_WIRE_JCFB44, + TILE_WIRE_JCFB45, + TILE_WIRE_JCFB46, + TILE_WIRE_JCFB47, + TILE_WIRE_JCFB48, + TILE_WIRE_JCFB49, + TILE_WIRE_JCFB5, + TILE_WIRE_JCFB50, + TILE_WIRE_JCFB51, + TILE_WIRE_JCFB52, + TILE_WIRE_JCFB53, + TILE_WIRE_JCFB6, + TILE_WIRE_JCFB7, + TILE_WIRE_JCFB8, + TILE_WIRE_JCFB9, + TILE_WIRE_JCFLAG_DLLDEL, + TILE_WIRE_JCH0RXREFCLKCIB, + TILE_WIRE_JCH1RXREFCLKCIB, + TILE_WIRE_JCIBCLK0, + TILE_WIRE_JCLK0_ECLKBRIDGECS0, + TILE_WIRE_JCLK0_ECLKBRIDGECS1, + TILE_WIRE_JCLK1_ECLKBRIDGECS0, + TILE_WIRE_JCLK1_ECLKBRIDGECS1, + TILE_WIRE_JCLKFB1, + TILE_WIRE_JCLKFB2, + TILE_WIRE_JCLKFB3, + TILE_WIRE_JCO0, + TILE_WIRE_JCO1, + TILE_WIRE_JCO10, + TILE_WIRE_JCO11, + TILE_WIRE_JCO12, + TILE_WIRE_JCO13, + TILE_WIRE_JCO14, + TILE_WIRE_JCO15, + TILE_WIRE_JCO16, + TILE_WIRE_JCO17, + TILE_WIRE_JCO18, + TILE_WIRE_JCO19, + TILE_WIRE_JCO2, + TILE_WIRE_JCO20, + TILE_WIRE_JCO21, + TILE_WIRE_JCO22, + TILE_WIRE_JCO23, + TILE_WIRE_JCO24, + TILE_WIRE_JCO25, + TILE_WIRE_JCO26, + TILE_WIRE_JCO27, + TILE_WIRE_JCO28, + TILE_WIRE_JCO29, + TILE_WIRE_JCO3, + TILE_WIRE_JCO30, + TILE_WIRE_JCO31, + TILE_WIRE_JCO32, + TILE_WIRE_JCO33, + TILE_WIRE_JCO34, + TILE_WIRE_JCO35, + TILE_WIRE_JCO36, + TILE_WIRE_JCO37, + TILE_WIRE_JCO38, + TILE_WIRE_JCO39, + TILE_WIRE_JCO4, + TILE_WIRE_JCO40, + TILE_WIRE_JCO41, + TILE_WIRE_JCO42, + TILE_WIRE_JCO43, + TILE_WIRE_JCO44, + TILE_WIRE_JCO45, + TILE_WIRE_JCO46, + TILE_WIRE_JCO47, + TILE_WIRE_JCO48, + TILE_WIRE_JCO49, + TILE_WIRE_JCO5, + TILE_WIRE_JCO50, + TILE_WIRE_JCO51, + TILE_WIRE_JCO52, + TILE_WIRE_JCO53, + TILE_WIRE_JCO6, + TILE_WIRE_JCO7, + TILE_WIRE_JCO8, + TILE_WIRE_JCO9, + TILE_WIRE_JDDRDLLCLK, + TILE_WIRE_JDIRECTION_DLLDEL, + TILE_WIRE_JDSPC0, + TILE_WIRE_JDSPC1, + TILE_WIRE_JDSPC10, + TILE_WIRE_JDSPC11, + TILE_WIRE_JDSPC12, + TILE_WIRE_JDSPC13, + TILE_WIRE_JDSPC14, + TILE_WIRE_JDSPC15, + TILE_WIRE_JDSPC16, + TILE_WIRE_JDSPC17, + TILE_WIRE_JDSPC18, + TILE_WIRE_JDSPC19, + TILE_WIRE_JDSPC2, + TILE_WIRE_JDSPC20, + TILE_WIRE_JDSPC21, + TILE_WIRE_JDSPC22, + TILE_WIRE_JDSPC23, + TILE_WIRE_JDSPC24, + TILE_WIRE_JDSPC25, + TILE_WIRE_JDSPC26, + TILE_WIRE_JDSPC3, + TILE_WIRE_JDSPC4, + TILE_WIRE_JDSPC5, + TILE_WIRE_JDSPC6, + TILE_WIRE_JDSPC7, + TILE_WIRE_JDSPC8, + TILE_WIRE_JDSPC9, + TILE_WIRE_JD_SYNC_ND_DCU, + TILE_WIRE_JD_TXBIT_CLKN_FROM_ND_DCU, + TILE_WIRE_JD_TXBIT_CLKP_FROM_ND_DCU, + TILE_WIRE_JD_TXPLL_LOL_FROM_ND_DCU, + TILE_WIRE_JECLK0, + TILE_WIRE_JECLK1, + TILE_WIRE_JECLKI0, + TILE_WIRE_JECLKI1, + TILE_WIRE_JECLKO_BRGECLKSYNC0, + TILE_WIRE_JECLKO_BRGECLKSYNC1, + TILE_WIRE_JECLKO_ECLKSYNC0, + TILE_WIRE_JECLKO_ECLKSYNC1, + TILE_WIRE_JINCK, + TILE_WIRE_JINPUT_IN0_APIO, + TILE_WIRE_JINPUT_IN1_APIO, + TILE_WIRE_JINPUT_IP0_APIO, + TILE_WIRE_JINPUT_IP1_APIO, + TILE_WIRE_JJTCK, + TILE_WIRE_JLOADN_DLLDEL, + TILE_WIRE_JMOVE_DLLDEL, + TILE_WIRE_JMSROA0, + TILE_WIRE_JMSROA1, + TILE_WIRE_JMSROA10, + TILE_WIRE_JMSROA11, + TILE_WIRE_JMSROA12, + TILE_WIRE_JMSROA13, + TILE_WIRE_JMSROA14, + TILE_WIRE_JMSROA15, + TILE_WIRE_JMSROA16, + TILE_WIRE_JMSROA17, + TILE_WIRE_JMSROA2, + TILE_WIRE_JMSROA3, + TILE_WIRE_JMSROA4, + TILE_WIRE_JMSROA5, + TILE_WIRE_JMSROA6, + TILE_WIRE_JMSROA7, + TILE_WIRE_JMSROA8, + TILE_WIRE_JMSROA9, + TILE_WIRE_JMUIA0, + TILE_WIRE_JMUIA1, + TILE_WIRE_JMUIA10, + TILE_WIRE_JMUIA11, + TILE_WIRE_JMUIA12, + TILE_WIRE_JMUIA13, + TILE_WIRE_JMUIA14, + TILE_WIRE_JMUIA15, + TILE_WIRE_JMUIA16, + TILE_WIRE_JMUIA17, + TILE_WIRE_JMUIA2, + TILE_WIRE_JMUIA3, + TILE_WIRE_JMUIA4, + TILE_WIRE_JMUIA5, + TILE_WIRE_JMUIA6, + TILE_WIRE_JMUIA7, + TILE_WIRE_JMUIA8, + TILE_WIRE_JMUIA9, + TILE_WIRE_JMUIC0, + TILE_WIRE_JMUIC1, + TILE_WIRE_JMUIC10, + TILE_WIRE_JMUIC11, + TILE_WIRE_JMUIC12, + TILE_WIRE_JMUIC13, + TILE_WIRE_JMUIC14, + TILE_WIRE_JMUIC15, + TILE_WIRE_JMUIC16, + TILE_WIRE_JMUIC17, + TILE_WIRE_JMUIC18, + TILE_WIRE_JMUIC19, + TILE_WIRE_JMUIC2, + TILE_WIRE_JMUIC20, + TILE_WIRE_JMUIC21, + TILE_WIRE_JMUIC22, + TILE_WIRE_JMUIC23, + TILE_WIRE_JMUIC24, + TILE_WIRE_JMUIC25, + TILE_WIRE_JMUIC26, + TILE_WIRE_JMUIC3, + TILE_WIRE_JMUIC4, + TILE_WIRE_JMUIC5, + TILE_WIRE_JMUIC6, + TILE_WIRE_JMUIC7, + TILE_WIRE_JMUIC8, + TILE_WIRE_JMUIC9, + TILE_WIRE_JMULTA0, + TILE_WIRE_JMULTA1, + TILE_WIRE_JMULTA10, + TILE_WIRE_JMULTA11, + TILE_WIRE_JMULTA12, + TILE_WIRE_JMULTA13, + TILE_WIRE_JMULTA14, + TILE_WIRE_JMULTA15, + TILE_WIRE_JMULTA16, + TILE_WIRE_JMULTA17, + TILE_WIRE_JMULTA2, + TILE_WIRE_JMULTA3, + TILE_WIRE_JMULTA4, + TILE_WIRE_JMULTA5, + TILE_WIRE_JMULTA6, + TILE_WIRE_JMULTA7, + TILE_WIRE_JMULTA8, + TILE_WIRE_JMULTA9, + TILE_WIRE_JNEIGHBORECLK0, + TILE_WIRE_JNEIGHBORECLK1, + TILE_WIRE_JNEXTR0, + TILE_WIRE_JNEXTR1, + TILE_WIRE_JNEXTR10, + TILE_WIRE_JNEXTR11, + TILE_WIRE_JNEXTR12, + TILE_WIRE_JNEXTR13, + TILE_WIRE_JNEXTR14, + TILE_WIRE_JNEXTR15, + TILE_WIRE_JNEXTR16, + TILE_WIRE_JNEXTR17, + TILE_WIRE_JNEXTR18, + TILE_WIRE_JNEXTR19, + TILE_WIRE_JNEXTR2, + TILE_WIRE_JNEXTR20, + TILE_WIRE_JNEXTR21, + TILE_WIRE_JNEXTR22, + TILE_WIRE_JNEXTR23, + TILE_WIRE_JNEXTR24, + TILE_WIRE_JNEXTR25, + TILE_WIRE_JNEXTR26, + TILE_WIRE_JNEXTR27, + TILE_WIRE_JNEXTR28, + TILE_WIRE_JNEXTR29, + TILE_WIRE_JNEXTR3, + TILE_WIRE_JNEXTR30, + TILE_WIRE_JNEXTR31, + TILE_WIRE_JNEXTR32, + TILE_WIRE_JNEXTR33, + TILE_WIRE_JNEXTR34, + TILE_WIRE_JNEXTR35, + TILE_WIRE_JNEXTR36, + TILE_WIRE_JNEXTR37, + TILE_WIRE_JNEXTR38, + TILE_WIRE_JNEXTR39, + TILE_WIRE_JNEXTR4, + TILE_WIRE_JNEXTR40, + TILE_WIRE_JNEXTR41, + TILE_WIRE_JNEXTR42, + TILE_WIRE_JNEXTR43, + TILE_WIRE_JNEXTR44, + TILE_WIRE_JNEXTR45, + TILE_WIRE_JNEXTR46, + TILE_WIRE_JNEXTR47, + TILE_WIRE_JNEXTR48, + TILE_WIRE_JNEXTR49, + TILE_WIRE_JNEXTR5, + TILE_WIRE_JNEXTR50, + TILE_WIRE_JNEXTR51, + TILE_WIRE_JNEXTR52, + TILE_WIRE_JNEXTR53, + TILE_WIRE_JNEXTR6, + TILE_WIRE_JNEXTR7, + TILE_WIRE_JNEXTR8, + TILE_WIRE_JNEXTR9, + TILE_WIRE_JOUTPUT_ON0_APIO, + TILE_WIRE_JOUTPUT_ON1_APIO, + TILE_WIRE_JOUTPUT_OP0_APIO, + TILE_WIRE_JOUTPUT_OP1_APIO, + TILE_WIRE_JP0, + TILE_WIRE_JP1, + TILE_WIRE_JP10, + TILE_WIRE_JP11, + TILE_WIRE_JP12, + TILE_WIRE_JP13, + TILE_WIRE_JP14, + TILE_WIRE_JP15, + TILE_WIRE_JP16, + TILE_WIRE_JP17, + TILE_WIRE_JP18, + TILE_WIRE_JP19, + TILE_WIRE_JP2, + TILE_WIRE_JP20, + TILE_WIRE_JP21, + TILE_WIRE_JP22, + TILE_WIRE_JP23, + TILE_WIRE_JP24, + TILE_WIRE_JP25, + TILE_WIRE_JP26, + TILE_WIRE_JP27, + TILE_WIRE_JP28, + TILE_WIRE_JP29, + TILE_WIRE_JP3, + TILE_WIRE_JP30, + TILE_WIRE_JP31, + TILE_WIRE_JP32, + TILE_WIRE_JP33, + TILE_WIRE_JP34, + TILE_WIRE_JP35, + TILE_WIRE_JP36, + TILE_WIRE_JP37, + TILE_WIRE_JP38, + TILE_WIRE_JP39, + TILE_WIRE_JP4, + TILE_WIRE_JP40, + TILE_WIRE_JP41, + TILE_WIRE_JP42, + TILE_WIRE_JP43, + TILE_WIRE_JP44, + TILE_WIRE_JP45, + TILE_WIRE_JP46, + TILE_WIRE_JP47, + TILE_WIRE_JP48, + TILE_WIRE_JP49, + TILE_WIRE_JP5, + TILE_WIRE_JP50, + TILE_WIRE_JP51, + TILE_WIRE_JP52, + TILE_WIRE_JP53, + TILE_WIRE_JP6, + TILE_WIRE_JP7, + TILE_WIRE_JP8, + TILE_WIRE_JP9, + TILE_WIRE_JPADDI, + TILE_WIRE_JPCSCDIVCIB0, + TILE_WIRE_JPCSCDIVCIB1, + TILE_WIRE_JPO0, + TILE_WIRE_JPO1, + TILE_WIRE_JPO10, + TILE_WIRE_JPO11, + TILE_WIRE_JPO12, + TILE_WIRE_JPO13, + TILE_WIRE_JPO14, + TILE_WIRE_JPO15, + TILE_WIRE_JPO16, + TILE_WIRE_JPO17, + TILE_WIRE_JPO2, + TILE_WIRE_JPO3, + TILE_WIRE_JPO4, + TILE_WIRE_JPO5, + TILE_WIRE_JPO6, + TILE_WIRE_JPO7, + TILE_WIRE_JPO8, + TILE_WIRE_JPO9, + TILE_WIRE_JPSROA0, + TILE_WIRE_JPSROA1, + TILE_WIRE_JPSROA10, + TILE_WIRE_JPSROA11, + TILE_WIRE_JPSROA12, + TILE_WIRE_JPSROA13, + TILE_WIRE_JPSROA14, + TILE_WIRE_JPSROA15, + TILE_WIRE_JPSROA16, + TILE_WIRE_JPSROA17, + TILE_WIRE_JPSROA2, + TILE_WIRE_JPSROA3, + TILE_WIRE_JPSROA4, + TILE_WIRE_JPSROA5, + TILE_WIRE_JPSROA6, + TILE_WIRE_JPSROA7, + TILE_WIRE_JPSROA8, + TILE_WIRE_JPSROA9, + TILE_WIRE_JR0, + TILE_WIRE_JR1, + TILE_WIRE_JR10, + TILE_WIRE_JR11, + TILE_WIRE_JR12, + TILE_WIRE_JR13, + TILE_WIRE_JR14, + TILE_WIRE_JR15, + TILE_WIRE_JR16, + TILE_WIRE_JR17, + TILE_WIRE_JR18, + TILE_WIRE_JR19, + TILE_WIRE_JR2, + TILE_WIRE_JR20, + TILE_WIRE_JR21, + TILE_WIRE_JR22, + TILE_WIRE_JR23, + TILE_WIRE_JR24, + TILE_WIRE_JR25, + TILE_WIRE_JR26, + TILE_WIRE_JR27, + TILE_WIRE_JR28, + TILE_WIRE_JR29, + TILE_WIRE_JR3, + TILE_WIRE_JR30, + TILE_WIRE_JR31, + TILE_WIRE_JR32, + TILE_WIRE_JR33, + TILE_WIRE_JR34, + TILE_WIRE_JR35, + TILE_WIRE_JR36, + TILE_WIRE_JR37, + TILE_WIRE_JR38, + TILE_WIRE_JR39, + TILE_WIRE_JR4, + TILE_WIRE_JR40, + TILE_WIRE_JR41, + TILE_WIRE_JR42, + TILE_WIRE_JR43, + TILE_WIRE_JR44, + TILE_WIRE_JR45, + TILE_WIRE_JR46, + TILE_WIRE_JR47, + TILE_WIRE_JR48, + TILE_WIRE_JR49, + TILE_WIRE_JR5, + TILE_WIRE_JR50, + TILE_WIRE_JR51, + TILE_WIRE_JR52, + TILE_WIRE_JR53, + TILE_WIRE_JR6, + TILE_WIRE_JR7, + TILE_WIRE_JR8, + TILE_WIRE_JR9, + TILE_WIRE_JRCDIVX0, + TILE_WIRE_JRCDIVX1, + TILE_WIRE_JREFCLK0_0, + TILE_WIRE_JREFCLK0_1, + TILE_WIRE_JREFCLK0_2, + TILE_WIRE_JREFCLK0_3, + TILE_WIRE_JREFCLK0_4, + TILE_WIRE_JREFCLK0_5, + TILE_WIRE_JREFCLK0_6, + TILE_WIRE_JREFCLK1_0, + TILE_WIRE_JREFCLK1_1, + TILE_WIRE_JREFCLK1_2, + TILE_WIRE_JREFCLK1_3, + TILE_WIRE_JREFCLK1_4, + TILE_WIRE_JREFCLK1_5, + TILE_WIRE_JREFCLK1_6, + TILE_WIRE_JREFCLKFROMND, + TILE_WIRE_JROC27, + TILE_WIRE_JROC28, + TILE_WIRE_JROC29, + TILE_WIRE_JROC30, + TILE_WIRE_JROC31, + TILE_WIRE_JROC32, + TILE_WIRE_JROC33, + TILE_WIRE_JROC34, + TILE_WIRE_JROC35, + TILE_WIRE_JROC36, + TILE_WIRE_JROC37, + TILE_WIRE_JROC38, + TILE_WIRE_JROC39, + TILE_WIRE_JROC40, + TILE_WIRE_JROC41, + TILE_WIRE_JROC42, + TILE_WIRE_JROC43, + TILE_WIRE_JROC44, + TILE_WIRE_JROC45, + TILE_WIRE_JROC46, + TILE_WIRE_JROC47, + TILE_WIRE_JROC48, + TILE_WIRE_JROC49, + TILE_WIRE_JROC50, + TILE_WIRE_JROC51, + TILE_WIRE_JROC52, + TILE_WIRE_JROC53, + TILE_WIRE_JRST_CLKDIV0, + TILE_WIRE_JRST_CLKDIV1, + TILE_WIRE_JSEL_ECLKBRIDGECS0, + TILE_WIRE_JSEL_ECLKBRIDGECS1, + TILE_WIRE_JSEL_PLLREFCS, + TILE_WIRE_JSERDESREFCLK0, + TILE_WIRE_JSERDESREFCLK1, + TILE_WIRE_JSROA0, + TILE_WIRE_JSROA1, + TILE_WIRE_JSROA10, + TILE_WIRE_JSROA11, + TILE_WIRE_JSROA12, + TILE_WIRE_JSROA13, + TILE_WIRE_JSROA14, + TILE_WIRE_JSROA15, + TILE_WIRE_JSROA16, + TILE_WIRE_JSROA17, + TILE_WIRE_JSROA2, + TILE_WIRE_JSROA3, + TILE_WIRE_JSROA4, + TILE_WIRE_JSROA5, + TILE_WIRE_JSROA6, + TILE_WIRE_JSROA7, + TILE_WIRE_JSROA8, + TILE_WIRE_JSROA9, + TILE_WIRE_JSTOP_BRGECLKSYNC0, + TILE_WIRE_JSTOP_BRGECLKSYNC1, + TILE_WIRE_JSTOP_ECLKSYNC0, + TILE_WIRE_JSTOP_ECLKSYNC1, + TILE_WIRE_JTCK_TCK, + TILE_WIRE_JTDI_TDI, + TILE_WIRE_JTDO_TDO, + TILE_WIRE_JTMS_TMS, + TILE_WIRE_JTXREFCLK, + TILE_WIRE_JTXREFCLKCIB, + TILE_WIRE_KEEPWIRE, + TILE_WIRE_PCSCDIV10, + TILE_WIRE_PCSCDIV11, + TILE_WIRE_PCSCDIVI0, + TILE_WIRE_PCSCDIVI1, + TILE_WIRE_PCSCDIVX0, + TILE_WIRE_PCSCDIVX1, + TILE_WIRE_PLLCSOUT_PLLREFCS, + TILE_WIRE_REFCLK0, + TILE_WIRE_REFCLK1, + TILE_WIRE_RXREFCLK0, + TILE_WIRE_RXREFCLK1, + TILE_WIRE_SYNCECLK0, + TILE_WIRE_SYNCECLK1, + TILE_WIRE_Z_DLLDEL }; void gfxTileBel(std::vector &g, int x, int y, int z, int w, int h, IdString bel_type, -- cgit v1.2.3